用两只七段数码管模块和BCD输入模块设计一个数字累加器 要求 1:输入的数字序列长度不超过10

计数器与应用实验报告(共10篇)
您现在的位置:&&
计数器与应用实验报告(共10篇)
相关热词搜索:
篇一:数字逻辑实验报告:计数器及其应用 安徽师范大学
专业名称 实 验 室 实验课程 实验名称 姓 名 学 号 同组人员 实验日期软件工程数字逻辑 计数器及其应用
篇二:计数器的应用——实验报告 计数器的应用
计科四班阚琛琛 【实验内容】 1. 测试74LS90的逻辑功能; 2. 用模拟示波器测试74LS90的输入出波形图; 3. 用两个74LS90级联出24进制计数器。 【实验器材】 74LS90两片; 74LS00一片; 模拟示波器; 实验箱; 模拟示波器; 导线若干; 【实验过程】 1. 测试74LS90的逻辑功能; (1) 清零和置九 74LS90的引脚5接VCC,10接GND,14接CP脉冲,清零2和3,置九6和7均接逻辑电平,输出QAQBQCQD12.9.8.11接指示灯,如图所示 调节逻辑电平的高低,观察四个指示灯的亮灭,得到下表:(2)十进制计数 在上述电路的基础上,将输出QA接在时钟信号B上,得到如下图形:将QAQBQCQD接在数码管上,显示数字0-9. (3)二进制计数 在十进制的基础上,将显示的数码管QB接口接在清零端,如图: 输出QAQBQCQD接在数码管上,则显示0-1; (4)五进制计数 在十进制的基础上,将数码管显示的QA和QC接口接在清零端,如图: 输出QAQBQCQD接在数码管上,则显示0-4. :在十进制的基础上使用清零端,则可以实现任意进制。 2. 用模拟示波器测试74LS90的输入出波形图; 在十进制计数电路的基础上,将QA和CP脉冲接在模拟示波器的两个通道中,调节示波器,图形如下:3. 用两个74LS90级联出24进制计数器。 电路构想:分别用两个74LS90构造出两个十进制计数器,将其中的一个QD接在另一个的输入B中,得到一个100进制的计数器,然后在24的时候强制清零,得到一个二十四进制的计数器。如图所示
将两个74LS90的输出QAQBQCQD接在数码管上,左边地位,右边高位,则显示0-23. 【实验心得】 1. 在使用74LS90的时候要注意,两个脉冲信号只需要接一个就好,否则会有较大影响; 2. 在查找资料过后,要大致想一下这个芯片的作用及如何使用它,要知道这些原理,才能 在后面的试验中有头绪;就像在做模2模5计数器时,将模10和清零结合起来就好 【实验评价】 本次实验中,使用了模拟示波器,对于此示波器的用法不了解,在测波形的时候比较被动。篇三:定时器计数器应用实验 实验名称:
定时器/计数器应用实验日期: 见自己实验数据 得分: 同组人:
不填 指导教师:姓名一、实验目的 1. 掌握51单片机定时器/计数器的基本结构、工作原理和工作方式。 2. 掌握定时器/计数器T0、T1工作在定时器和计数器两种状态下的编程方法。 3. 学习和掌握定时器/计数器工作在定时器和计数器两种状态下,分别采用中断和查询 方式控制的编程方法。 4. 熟练掌握利用软件扩展定时器/计数器量程的原理和编程技巧。 二、实验设备 PC机一台,单片机实验系统一套 三、实验内容 设定时器/计数器工作于定时方式,定时时间为100ms,每当100ms到申请中断。 每10秒种将A的内容循环左移一次,送P1口显示。 四、实验原理 51单片机有2个16位的定时器/计数器,分别是T0和T1。它们有两种工作状态,可以工作在定时方式和计数方式;定时是对内部的机器周期进行加法计数,计数是对外部输入的计数脉冲进行加法计数,T0的外部计数脉冲从P3.4引脚输入,T1的外部计数脉冲从P3.5引脚输入;计数满产生溢出,硬件使定时器/计数器T0、T1的中断请求标志TF0、TF1置位;如果定时器/计数器允许中断,则可以采用中断方式进行溢出处理,而如果定时器/计数器不允许中断,则可以采用查询方式进行溢出处理。 若定时器/计数器T0工作在定时状态,在实验系统的晶振频率fOSC=12MHZ时,T0工作在方式1,16位最大计数量程,最长的定时时间是65mS多,要想实现10S定时,必须对T0进行量程扩展。实验中采用R7进行软件扩展,即R7对T0定时50mS进行计数,计数200次就是定时10S。每10S对累加器A进行一次左移,然后送P1口显示。 实验电路连接图如图1所示。 图1 定时器/计数器应用实验接线图 根据此实验原理编写的实验源程序清单见附页。 五、实验步骤 1. 在E盘下为工程建立文件夹; 2. 新建工程项目文件中,并为工程选择目标器件为AT 公司的AT89S51; 3. 编辑源程序,建立源文件 4. 将源文件添加到工程项目组中; 5. 设置调试环境,选择调试模式为Proteus软件仿真;
6. 运行程序,根据设计的数据记录表格进行实验,观察发光管显示的状态,并记录实验 现象; 7. 实验数据经过实验指导教师检查正确后,实验结束。 实(转 载于: 写 论文 网:[标签:biaoti])验现象记录见附页。 六、实验结果分析 (自己写) 七、心得体会 (自己写) 附录: 实验程序框图 流程图主程序框图 实验现象:发光二极管隔一秒点亮一次,点亮时间为一秒。
思考题 如何将定时器的状态间隔改为2秒,程序如何改写?参考源程序 实验(一):计数器 ORG 0000H LJMPSTART ORG 0030H START:MOVTMOD,﹟B ;置T0计数器方式1MOVTH0,﹟0 ;置T0初值MOVTL0,﹟0 SETBTR0
;T0运行 LOOP:
MOVP1,TL0
;记录P1口脉冲个数LJMP
;返回 END 实验(二):定时器 Tick EQU
5000 ; 10000 x 100us = 1s T100us
156 100us时间常数(12M)
5H; 100us记数单元
ORG 0100HT0Int: PUSHPSW
MOV A, C100us+1
DEC C100us Goon:
DEC C100us+1
MOV A, C100us
ORL A, C100us+1 JNZ E 100us 记数器不为0, 返回
MOV C100us, #HIGH(TICK);#high(Tick)
MOV C100us+1, #LOW(TICK)#low(Tick)
CPL LEDB 取反LED Exit:
RETI Start: MOV
TMOD, #02H方式2, 定时器
TH0, #t100us
TL0, #t100us MOV
IE, #B ; EA=1, IT0 = 1SETB TR0; 开始定时
C100us, #high(Tick)
C100us+1, #low(Tick) Loop:
END篇四:计数器 实验4 计数器及其应用 一、实验目的 1、学习用集成触发器构成计数器的方法2、掌握中规模集成计数器的使用及功能测试方法二、实验原理 计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。 1、中规模十进制计数器 CC40192是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如图5-9-1所示。图5-9-1
CC40192引脚排列及逻辑符号 图中 LD—置数端CPU—加计数端CPD —减计数端CO—非同步进位输出端
BO—非同步借位输出端 D0、D1、D2、D3 —计数器输入端 Q0、Q1、Q2、Q3 —数据输出端CR—清除端CC40192的功能如表5-9-1,说明如下:表5-9-1当清除端CR为高电平“1”时,计数器直接清零;CR置低电平则执行其它功能。 当CR为低电平,置数端LD也为低电平时,数据直接从置数端D0、D1、D2、D3 置入计数器。 当CR为低电平,LD为高电平时,执行计数功能。执行加计数时,减计数端CPD 接高电平,计数脉冲由CPU 输入;在计数脉冲上升沿进行 8421 码十进制加法计数。执行减计数时,加计数端CPU接高电平,计数脉冲由减计数端CPD 输入,表5-9-2为8421码十进制加、减计数器的状态转换表。加法计数
表5-9-减计数 2、计数器的级联使用 一个十进制计数器只能表示0~9十个数,为了扩大计数器范围,常用多个十进制计数器级联使用。 同步计数器往往设有进位(或借位)输出端,故可选用其进位(或借位)输出信号驱动下一级计数器。 图5-9-2是由CC40192利用进位输出CO控制高一位的CPU端构成的加数级联图。 图5-9-2
CC40192级联电路 3、实现任意进制计数 (1) 用复位法获得任意进制计数器 假定已有N进制计数器,而需要得到一个M进制计数器时,只要M<N,用复位法使计数器计数到M时置“0”,即获得M进制计数器。如图5-9-4所示为一个由CC40192十进制计数器接成的6进制计数器。 (2) 利用预置功能获M进制计数器 图5-9-5为用三个CC40192组成的421进制计数器。 外加的由与非门构成的锁存器可以克服器件计数速度的离散性,保证在反馈置“0”信号作用下计数器可靠置“0”。
六进制计数器 图5-9-4是一个特殊12进制的计数器电路方案。在数字钟里,对时位的计数序列是1、2、?11,12、1、?是12进制的,且无0数。如图所示,当计数到13时,通过与非门产生一个复位信号,使CC40192(2)〔时十位〕直接置成0000,而CC40192(1),即时的个位直接置成0001,从而实现了5-5-1-12计数。图5-9-4
特殊12进制计数器 三、实验设备与器件 1、 +5V直流电源2、 双踪示波器
3、 连续脉冲源
4、 单次脉冲源
5、 逻辑电平开关6、 逻辑电平显示器
7、 译码显示器 8、
CC40192×3
CC) CC) 四、实验内容 1、测试CC40192同步十进制可逆计数器的逻辑功能 计数脉冲由单次脉冲源提供,清除端CR、置数端LD、数据输入端D3 、D2、D1、D0
分别接逻辑开关,输出端 Q3、Q2、Q1、Q0接实验设备的一个译码显示输入相应插口A、B、C、D; CO和BO接逻辑电平显示插口。按表5-9-1逐项测试并判断该集成块的功能是否正常。 (1) 清除 令CR=1,其它输入为任意态,这时Q3Q2Q1Q0=0000,译码数字显示为0。清除功能完成后,置CR=0(2) 置数 CR=0,CPU,CPD 任意,数据输入端输入任意一组二进制数,令LD= 0,观察计数译码显示输出,予置功能是否完成,此后置LD=1。(3) 加计数 CR=0,LD=CPD =1,CPU 接单次脉冲源。清零后送入10个单次脉冲,观察译码数字显示是否按8421码十进制状态转换表进行;输出状态变化是否发生在CPU 的上升沿。(4) 减计数 CR=0,LD=CPU =1,CPD 接单次脉冲 源。参照3)进行实验。由内容可做实验得, 计数端接单次脉冲源,清除端CR、置数端LD、数据输入端 D3D2D1D0分别接逻辑开关,Q3Q2Q1Q0接实验设备的一个译码显示输入相应端口ABCD,CO、BO接逻辑电平显示插口,按表5-9-1测试,其结果与表5-9-1相一致。 2、图5-9-2所示,用两片CC40192组成两位十进制减法计数器,输入1Hz连续计数脉冲,进行由00—99递减计数,记录之。 由内容可做实验得,按图5-9-2连接电缆,其中(1)片CPCR1=0 LD1=1 D接连续脉冲源,两片Q3CPU1=1,BO1接2片CPD2 CR2=0 LD2=1 CPU2=1 BO2为借位端。译码显示器,显示器数值由00开始递减。 3、将两位十进制减法计数器改为两位十进制加法计数器,实现由99—00累加计数,记录之。 由内容可做实验得,接图5-9-2电路,显示器由00开始递增 4、设计一个数字钟移位60进 制计数器并进行实验。 由内容可做实验得,将实验3中(2)片接法改为图5-9-3,即得到特殊12进制计数器 5、按图5-9-4进行实验,记录之。 由内容可做实验得,按图5-9-4连接电路,得到特殊12进制计数器。 六、实验 在整个设计的过程中,关键在于时序电路的连接及电路的细节设计上,连接时要特别注意分清各个管脚,要分析原理以及可行的原因,是整个电路可稳定工作。从中我感觉到每个实验都是要反复实践,其过程可能相当繁琐,但总会有所收获的。 Q0分别接篇五:数电实验报告:计数器及其应用 数字电子技术实验报告 实验四:计数器及其应用 一、实验目的: 1、 熟悉常用中规模计数器的逻辑功能。 2、 掌握二进制计数器和十进制计数器的工作原理和使用方法。 二、实验设备: 1、 数字电路实验箱; 2、 74LS90。 三、实验原理: 1、
计数是一种最简单基本运算,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时具有分频功能。计数器按计数进制分有:二进制计数器,十进制计数器和任意进制计数器;按计数单元中触发器所接收计数脉冲和翻转顺序分有:异步计数器,同步计数器;按计数功能分有:加法计数器,减法计数器,可逆(双向)计数器等。 2、74LS90是一块二-五-十进制异步计数器,外形为双列直插,NC表示空脚,不接线,它由四个主从JK触发器和一些附加门电路组成,其中一个触发器构成一位二进制计数器;另三个触发器构成异步五进制计数器。在74LS90计数器电路中,设有专用置“0”端R0(1),R0(2)和置“9”端S9(1)S9(2)。其中前两个为异步清0端,后两个为异步置9端。CP1, CP2为两个。 时钟输入端;Q0 ~Q3为计数输出端。当R1=R2=S1=S2=0时,时钟从CP1引入,Q0输出为二进制;从CP2引入,Q3输出为五进制。时钟从CP1引入,二Q0接CP1,则Q3Q2Q1Q0输出为十进制(8421码);时钟从CP2引入,而Q3接CP1,则Q0Q3Q2Q1输出为十进制(5421码)。 四、实验原理图及实验结果: 1、 实现0~9十进制计数。 1)实验原理图如下:(函数信号发生器:5V 3Hz 偏移2.5V方波)2)实验结果: 解码器上依次显示0~9十个数字。 2、实现六进制计数。 1)实验原理图如下:(函数信号发生器:5V 3Hz 偏移2.5V方波) 2)实验结果:解码器上依次显示0~5六个数字。 3、 实现0、2、4、6、8、1、3、5、7、9计数。 1)实验原理图如下:(函数信号发生器:5V 3Hz 偏移2.5V方波)
2)实验结果: 解码器上依次显示0、2、4、6、8、1、3、5、7、9十个数字。 五、实验结果分析: 1、 2、 3、 实验要求实现0~9十进制计数,结合实验结果知所设计的电路符合要求。 实验要求实现六进制计数,结合实验结果知所设计的电路符合要求。 实验要求实现0、2、4、6、8、1、3、5、7、9计数,结合实验结果知所设计的电路符合要求。 六、实验心得: 通过这次实验,我对74LS90有了一定的了解,并会用它来实现一些功能,在 实验的过程中我遇到了一些问题,但后来在同学和老师的帮助下还是顺利的完成了实验,我学到了很多;通过这次实验,我对5421码掌握的更好了。篇六:实验4:同步计数器及其应用实验报告 实验4:同步计数器及其应用实验报告 一、 实验目的 1、了解可编程数字系统设计的流程 2、掌握Quartus II 软件的使用方法 3、掌握原理图输入方式设计数字系统的方法和流程 4、掌握74LS161同步16进制计数器的特点及其应用 二、 实验设备 1、计算机:Quartus II 软件 2、Altera DE0 多媒体开发平台 3、集成电路: 74LS10 4、集成电路:74LS161 三、 实验内容 1、 74LS161逻辑功能的测试 2、用74LS161实现12进制计数(异步清零) 3、用74LS161实现12进制计数(同步置数) 四、 实验原理 74LS161 1、 74LS161:异步清零、同步置数四位二进制计数器 2、引脚的定义:
使用74161实现16进制和12进制 1) 首先使用quartus软件建立原理图,首先实现16进制,所以只需要将需要的输入输出接到相应的引脚上,其中需要注意的是我们需要让这个板子开始工作,所以需要将T和P引脚接响应的高电压,然后将cp信号接入相应的输入;q0q1q2q3接到相应的输出就可以了,然后编译。现在在建立波形文件完成仿真,通过仿真结果就可以看到自己的电路是否正确。最后一步就是实现在FPGA上的应用,我们需要做的就是给原来的原理图分配相应的引脚,然后重新编译后,插入线就可以看到仿真结果了。 2) 12进制可以采取两种方式,也就是同步置数和异步清零两种 方式,我使用的异步清零,从而只需要对q0q1q2q3在12的时候执行清零的动作就可以了,也就是加一个而输入的与非门就可以了。 五、 实验结果篇七:8254定时计数器实验报告 8254定时/计数器应用实验 一、实验目的 1.掌握 8254 的工作方式及其应用编程。
2.掌握 8254 典型应用电路的接法。 二、实验设备 PC机一台,TD—PITE实验装置或TD-PITC实验装置一套,示波器一台。 三、实验内容 1.计数应用实验。编写程序,应用8254的计数功能,使用单次脉冲模拟计数,使每当按动‘KK+’5次后,产生一次计数中断,并在屏幕上显示一个字符‘M’。 2.定时应用实验。编写程序,应用8254的定时功能,产生一个1ms的方波。 四、实验原理 8254是Intel公司生产的可编程间隔定时器。是8253的改进型,比8253具有更优良的性能。8254具有以下基本功能:
(1)有3个独立的16位计数器。 (2)每个计数器可按二进制或十进制(BCD)计数。 (3)每个计数器可编程工作于6种不同工作方式。 (4)8254每个计数器允许的最高计数频率为10MHz(8253为2MHz)。 (5)8254有读回命令(8253没有),除了可以读出当前计数单元的内容外,还 可以读出状态寄存器的内容。 (6)计数脉冲可以是有规律的时钟信号,也可以是随机信号。计数初值公式为:n=fCLKi/fOUTi,其中fCLKi是输入时钟脉冲的频率,fOUTi是输出波形的频率。
图4.27是8254的内部结构框图和引脚图,它是由于CPU的接口、内部控制电路和三个计数器组成。 8254的工作方式如下述: (1)方式0:计数到0结束输出正跃变信号方式。 (2)方式1:硬件可重触发单稳方式。 (3)方式2:频率发生器方式。 (4)方式3:方波发生器。 (5)方式4:软件触发选通方式。 (6)方式5:硬件触发选通方式。GATE0OUT2
8254的内部接口和引脚
8254的控制字有两个:一个用来设置计数器的工作方式,称为方式控制字;另一个用来设置读回命令,称为读回控制器。这两个控制字共用一个地址,由标志位来区分。控制字格式如表4.3-4.5所示。 1表4.3 8254的方式控制字格式 计数器选择读写格式选择 00 -计数器001 -计数器110 -计数器211 -读出控制字标志 00锁存计数值01读/写低8位10读/写高8位11先读/写低8位,再读写高8位 计数码制选择 工作方式选择000 方式0001 方式1010 方式2011 方式3100 方式4101 方式5 0-二进制数1-十进制数 表4.4 8254读出控制字格式 锁存计数值锁存状态信息计数器选择表4.5 8254状态字格式 OUT引脚现行状态计数初值是否装入1高电平 0低电平1无效计数0计数有效8254实验单元电路图如下所示: D0D1D2D3D4D5D6D7CSRDWRA0A1 D0 OUT0D1 GATE0D2 CLK0D3D4D5 D6 OUT1D7 GATE1 CLK1 CSRDWR OUT2 A0 GATE2A1 8254 CLK2 OUT0VCCCLK0 计数器方式(同方式控制字)
OUT1GATE1CLK1 OUT2GATE2CLK2
五、实验步骤
1.计数器应用实验
2编写程序,将8254的计数器0设置为方式0,计数值为十进制数4,单次脉冲KK1+作为CLK0时钟,OUT0连接MIR7,每当KK1+按动5次后产生中断请求,在屏幕上显示字符“M”。 实验步骤: (1)实验连接如图4.29所示。 (2)编写实验程序,经编译、链接无误后装入系统。 (3)运行程序,按动KK1+产生单次脉冲,观察实验现象。 (4)改变计数值,验证8254的计数功能。 XD0 ...XD7 D0. . GATE0. D7 CLK0A0A1 OUT0WRRD CS
8254单元 VCCCLK 系统总线波形输出示波器测量 系统总线 XA1XA2 IOW#IOR#IOY3 图4.29
8254计数应用实验接线图
实验程序清单(A82541.ASM)
;========================================================= ; 文件名: A82541.ASM ; 功能描述: 通过对计数器0进行计数,计数初值为4, ;
当计数满后,产生正跳变触发中断,中断 ;
程序显示M(每按5次输出一个M) ;========================================================= A8254 EQU
06C0H B8254 EQU
06C2H C8254 EQU
06C4H CON8254
06C6H SSTACK SEGMENT STACKDW 32 DUP(?) SSTACK ENDS CODE SEGMENT ASSUME CS:CODE, SS:SSTACK START: PUSH DS MOV AX, 0000H
3MOV DS, AX MOV AX, OFFSET IRQ7取中断入口地址MOV SI, 003CH MOV [SI], AX MOV AX, CS
MOV SI, 003EH MOV [SI], AX CLIPOP DS ;初始化主片8259MOV AL, 11HOUT 20H, AL
MOV AL, 08HOUT 21H, AL
MOV AL, 04HOUT 21H, AL
MOV AL, 01HOUT 21H, AL MOV AL, 6FH
OUT 21H, AL ;8254 MOV DX, CON8254MOV AL, 10H
OUT DX, ALMOV DX, A8254MOV AL, 04HOUT DX, ALSTI AA1: NOPJMP AA1 IRQ7: MOV DX, A8254MOV AL, 04HOUT DX, ALMOV AX, 014DH INT 10HMOV AX, 0120HINT 10H
MOV AL, 20HOUT 20H, AL
;中断矢量地址 ;填IRQ7的偏移矢量段地址填IRQ7的段地址矢量ICW1ICW2ICW3ICW4OCW1计数器0,方式0 ;显示字符M中断结束命令 4篇八:集成计数器实验报告 实验三
集成计数器
一、实验目的 1、掌握集成计数器构成N进制的计数器的连接方法。 二、预习要求 1.熟悉芯片各引脚排列。 2.理解构成模长M进制计数器的原理。 3.实验前设计好实验所用电路,画出实验用的接线图。 三、实验内容 1、设计一模长M = 60进制的计数电路。 1)用同步连接反馈预置法实现。 2)用同步连接反馈清零法实现。 2、按设计图连接电路。 CP接频率为1Hz的方波脉冲,各计数器的输出Q3Q2Q1Q0接七段 BCD显示译码器CD4511的DCBA输入端,CD4511的输出接七段数码显示器。 3、.接通实验箱电源,观察七段数码显示器计数状态的变化过程,并记录该状态循环。 四、实验器材 数字逻辑实验箱,74LS160,74LS00,74LS20。 五、实验报告要求 1、60进制计数器的电路设计图、连线图和计数器的测试结果。
4、测试过程中出现的问题及解决办法。 六、实验用元件介绍
1.集成计数器74LS160 本实验所用集成芯片为异步清零同步预置四位8421码10进制加 法计数器74LS161,集成芯片的各功能端如图所示,其功能见附表。V QQQQ74LS160功能表 RDET
D D DD QQ Q Q
× × × × 0
↑ D C B A D C B A
× × × ×
× × × ×
× × × ×
计数 1 0 1 2 374LS160为异步清零计数器,即RD端输入低电平,不受CP控制,输出端立即全部为“0”,功能表第一行。74LS160具有同步预置功能,在RD端无效时,LD端输入低电平,在时钟共同作用下,CP上跳后计数器状态等于预置输入DCBA,即所谓“同步”预置功能(第二行)。RD和LD都无效,ET或EP任意一个为低电平,计数器处于保持功能,即输出状态不变。只有四个控制输入都为高电平,计数器(161)实现模10加法计数,Q3 Q2 Q1 Q0=1001时,RCO=1。 2.构成任意进制计数器(模长M≤10) 用集成计数器实现M进制计数有两种方法,反馈清零法和反馈预置法。图(a)为反馈清零法连接( 8进制),图(b)为反馈预置零法连接(8进制)。( a )
( b ) 3.集成计数器扩展应用(模长M>10)
当计数模长M大于10时,可用两片以上集成计数器级联触发器来实现。集成计数器可同步连接,也可以异步连接成多位计数器,然后采用反馈清零法或反馈预置法实现给定模长M计数。图所示为同步连接反馈清零法(a)及反馈置数法(b)实现模长48计数电路原理图。 2 七、其它集成计数器介绍 1.74LS161(同步预置异步复位4位二进制加法计数器) 74LS161有与74LS160一样的引脚排列和功能,区别在于161 是16计数器,Q3Q2Q1Q0=1111时,CO=1。 2.74LS190(可预置同步可逆BCD计数器)74LS190功能表 LD
QD QC QB QA 10 0↑加计数 10 1↑减计数 0x x↑预置数 11 xx
持 B AC D 74LS190是BCD同步加/减计数器,并行输出。计数时,时钟CP的上升沿有效。CP端、加/减端(U/D)和置数端(LD)都先经过缓冲,从而降低了这些输入端对驱动信号的要求。附表列出了74LS190的主要功能,下面作简要说明。 1)预置数:当置数端(LD)为低电平时,数据输入端信号A、B、 C、D将对内部触发器直接置位或复位,结果使QA=A、QB=B、 QC=C、QD=D,而与其他控制端的电平无关。 2)计数:在允许端S为低电平,置数端无效(LD=1)的条件下,若 加/减输入端U/D 为低电平,则可进行加计数,反之可进行减计数。
3)禁止计数:当允许端S为高电平时,计数被禁止。值得注意的是, 允许端的电平应在CP为高电平时发生变化。
4)级联:当计数器溢出时,进位/借位输出端(CO/BO)产生一个宽 度为一个CP周期的正脉冲,串行时钟端(QCR)也形成一个宽度等于时钟低电平部分的负脉冲,上述正脉冲或负脉冲的后沿比产生溢出的时钟脉冲上升沿稍微滞后,它们可作为级联信号来用。例如, 3把两级74LS190连接为同步计数器,只要将低位计数器的QCR端连至高位计数器的允许端S。而要把两级计数器连接为异步计数器,则低位计数器的QCR端应和高位计数器的CP端相连.CO/BO端可用来完成高速计数的先行进位。 3.74LS90(二—五—十进制计数器) 74LS90内部有一个二进制计数器,时钟CPA,输出Q0;一个五进制计数器,时钟CPB,输出Q3 Q2 Q1;可异步构成十进制计数器。它有两高电平有效的清零端R0A、R0B和两高电平有效的置9端S9A、S9B,其功能表如附表所示。
当计数脉冲由CPA输入,Q0与CPB相连时,就构成8421BCD计数器。当计数脉冲由CPB输入,Q3与CPA相连时,则可构成5421 BCD计数器。
GND74LS90功能表 0A 0B CC 9A 9B R0A R0B
x Q3 Q2 Q1 Q0 x0
1 ↓计 数 ↓计 数 ↓计 数 ↓计 数 4篇九:集成计数器及寄存器的运用 实验报告 电子通信与软件工程 系学期 《数字电路与逻辑设计实验》实验报告 ---------------------------------------------------------------------------------------------------------------------
姓名:学号:
成绩: 同组成员: 姓名:学号: --------------------------------------------------------------------------------------------------------------------- 一、 实验名称:集成计数器及寄存器的运用 二、实验目的: 1.熟悉集成计数器逻辑功能和各控制端作用。 2.掌握计数器使用方法。
三、 实验内容及步骤: 1.集成计数器74LS90功能测试。74LS90是二一五一十进制异步计数器。逻辑简图为图8.1所示。 四、 五、 图8.1 六、 74LS90具有下述功能: ·直接置0(R0(1).R0(2)?1),直接置9(S9(1,·S,.:,=1)
·二进制计数(CP、输入QA输出) ·五进制计数(CP2输入QDQCQB箱出) ·十进制计数(两种接法如图8.2A、B所示) ·按芯片引脚图分别测试上述功能,并填入表 8.1、表8.2、表8.3中。 第 1 页 共 4 页 图8.2 十进制计数器 2. 计数器级连 分别用2片74LS90计数器级连成二一五混合进制、十进制计数器。 3. 任意进制计数器设计方法 采用脉冲反馈法(称复位法或置位法)。可用74LS90组成任意模(M)计数器。图8.3 是用74LS90实现模7计数器的两种方案,图(A)采用复位法。即计数计到M异步清0。图(B)采用置位法,即计数计到M一1异步置0。 图8.3 74LS90 实现七进进制计数方法
(1) 按图8.3接线,进行验证。 (2) 设计一个九进制计数器并接线验证。 (3) 记录上述实验的同步波形图。
四、实验结果:
第 2 页 共 4 页七进制: 置位法
第 3 页 共 4 页
五、实验总结 计数器使用广泛,不仅可以对脉冲进行计数,还可以用于分频、定时、产生节拍脉冲以及其他时序信号。通过反馈清零法或是反馈置数法还可以用现有的计数器方便构造出其他进制计数器。此次实验在接线过程中出现了许多失误导致,实验最终刚得出结论用了许多的时间,在排错的过程中会出现不耐烦的情况,做实验不仅也是对学术上知识的巩固和锻炼实操,也是对人心态的磨合,后来排除错误之后才发现如果先前静下心,再耐心点,问题会更快得到解决,实验研究也是需要锻炼一颗能沉静下来做事的心。 第 4 页 共 4 页篇十:计数器的实验报告 计数器的实验报告 一.实验目的 1.掌握中规模集成计数器74LS160.161的逻辑功能及使用方法。 2.掌握74LS160计数器的级联方法。 3.学习用中规模集成计数器实现任意进制的计数器。 二.实验器材 74LS1LS160 三.实验内容 1.验证74LS160的逻辑功能
2.74LS161的逻辑功能的测试
3.清零法和置数法设计摸6 1)清零法
4.设计模60计数器,并设计开关电路,是电路具有清零,计数,保持的作用 1)计数
5.24进制 整体清零法
本&&篇:《》来源于:
优秀范文,论文网站
本篇网址:/2016/shiyanbaogao_.html
好文大家读
Copyright &
All Rights Reserved.

我要回帖

更多关于 bcd数码管 的文章

 

随机推荐