ps4pes2017光盘盒上arduino starter kitt 怎么用

后使用快捷导航没有帐号?
查看: 12363|回复: 11
注册时间最后登录阅读权限200积分20929精华1帖子
该用户从未签到
& && &&&Step FPGA starter kit V1.1版终于面世了,参加众筹的小伙伴已经拿到最新的Step。众筹版本我们设计了可爱的包装,更加超值的是我们设计了一款小巧轻便、功能强大的编程模块。让你的Step之旅更加愉快!
& && & FPGA开发板(上)和编程模块(下)大小图:
14:13 上传
& && & & && &
快速上手指南
& && & & &
, , , , , , , , , , , , , , , , , , ,
注册时间最后登录阅读权限200积分111002精华0帖子
该用户从未签到
& && &&&Step 是目前最迷你的一款FPGA开发板,只有一根食指大小。核心芯片精心挑选了Lattice公司的MXO2系列FPGA,内嵌Flash模块,兼具了FPGA和CPLD的优点。瞬时上电启动,无需外部重新配置FPGA,是学习数字逻辑绝佳的选择。主芯片LCMXO2-1200HC-4MG132采用了超小的BGA封装,让使用者无需担心复杂的制版设计,即插即用。灵活的扩展接口非常适合原型设计。
& && & Step FPGA(小脚丫)开发板包装精致的小盒,我们特别配备了小巧功能强大的编程模块,下载程序更加方便。
23:32 上传
& && &&&FPGA开发板(上)和编程模块(下),如图。
23:35 上传
& && &&&FPGA开发板的JTAG插座有直角和弯角两个版本,为了方便配和编程器我们这次提供了弯角版本。Step FPGA的结构如下图。
23:43 上传
& && & 参数:
& && &&&1. FPGA芯片:LCMXO2-1200HC-4MG132
& && &&&2. USB 5V供电
& && &&&3. 25MHz时钟
& && &&&4. 3个LED,一路电源指示,两路用户LED
& && &&&5. 2个按键
& && &&&6. 2个RGB LED
& && &&&7. 一路I2C接口
& && &&&8. SPI接口(可设主从模式)
& && &&&9. JTAG接口
& && &&&10. 29个GPIO接口
& && &&&上电测试。Step FPGA开发板通过USB供电,采用了常见Micro USB接口。一般的安卓手机USB线均可使用。开发板出厂内置测试程序,上电后:
& && &&&1. Step FPGA开发板连接USB电源,电源指示灯点亮。
& && &&&2. 两个用户LED灯交替闪烁,间隔0.5秒。
& && &&&3. RGB LED闪烁分两种模式,上电默认流水灯模式。
& && && && && &流水灯:RGB LED交替闪烁红绿蓝。
& && && && && &交通灯:两个RGB LED异步闪烁红绿蓝,如同交通信号。
& && &&&4. 按键K2切换RGB LED显示模式。
& && &&&5. 按键K1用于复位功能。
23:58 上传
注册时间最后登录阅读权限200积分111002精华0帖子
该用户从未签到
& && &Step FPGA相关资料下载。
& && && & 1、MachXO2系列芯片资料下载地址:
& && && && && && &
& && && & 2、Lattice开发工具 Diamond 下载地址:
& && && && && && &
& && && & 3、Step FPGA开发板电路图:
& && && && && &&&
(61.55 KB, 下载次数: 302)
00:12 上传
点击文件名下载附件
& && && & 4、Step FPGA开发板的引脚配置:
00:14 上传
00:15 上传
注册时间最后登录阅读权限200积分111002精华0帖子
该用户从未签到
& && &&&Step FPGA开发板支持Lattice的Diamond开发环境,首先我们需要到官网下载Diamond进行安装,非常简单。下载Diamond安装包:
& && &&&开始安装:
& && && &1、双击打开下载好的软件,3.5.0.102_Diamond_x64.exe。
& && &&&2、进入安装首页。
00:35 上传
& && && &3、点击Next,进入协议界面,同意,Next
00:35 上传
& && && &4、修改安装路径,默认是C盘,本例程软件安装到D盘。
00:35 上传
& && && &5、修改完路径后,点击Next,进入工具选项界面。
00:35 上传
& && && &6、选择默认设置,即全部安装。注意叉叉是表示选择。点击Next,进入文件夹名设置。当然你可以根据自己喜好,修改文件夹的名字。
00:35 上传
& && && &7、接下来就是认证设置。没有USB key,就只能选择Node-Lock License。
00:35 上传
& && && &8、点击Next,选择是否创建桌面快捷键。
00:35 上传
& && && &9、点击Next,选择是否安装USB驱动,这个必须同意!!!
00:35 上传
& && && &10、完成这一系列设置后,软件列出所有设置内容。
00:35 上传
& && && &11、点击Next,正式进入安装环节。软件会评估一下本机系统,决定是否继续安装。
00:35 上传
& && &&&12、一般配置的PC机都可以通过评估。进入安装。
00:35 上传
& && &&&13、耐心等待=========&
00:35 上传
& && &&&14、点击Finish,完成安装。
注册时间最后登录阅读权限200积分111002精华0帖子
该用户从未签到
& && & 相比于一般的FPGA开发工具,Lattice的Diamond更加简洁,占用资源更少,速度更快。而且Lattice提供完全免费的版本,只需要在官网注册后申请一个免费的License。
安装License& && &
& && & 1.获取License
& && &&&登陆Lattice官网:&&,没有账户则先注册账户
& && &&&依次 Support →Licensing →Lattice Software Licenses →Request a Free License(获取一个免费许可证)
00:57 上传
& && && &将安装软件的电脑MAC地址(physical address)填写,勾选required field,并Generate License。
& && && &注:如何获取MAC地址? 打开cmd.exe,输入命令 ipconfig/all,找到物理地址(physical address),共12位数。
& && &&&2.软件注册
& && &&&将之前获取的license.dat文件拷贝到安装目录下的license文件夹中,例如将软件安装在D盘下: D:\lscc\diamond\3.5_x64\license\ ,注册完成。
& && &&&运行Diamond,界面如下
00:58 上传
& && && &界面中,右侧User Guides、Reference Guides、Tutorials、FPGA Design Guide等有大量文档链接,如果有时间建议大家尝试阅读,很有指导性。
& && && &若运行Diamond时报错 License checkout failed,说明软件注册有问题,请检查:
& && && && &1、检查用于注册License的MAC地址是否正确!
& && && && &2、检查获取到的License文件被放置在软件安装目录下!
& && && && && && & D:\lscc\diamond\3.5_x64\license\
& && && && &3、检查环境变量是否正确!
& && && && && && &我的电脑 →右键选择属性 →高级系统设置 →高级 →环境变量 →系统变量 ,变量和值分别为
& && && && && && &LM_LICENSE_FILE
& && && && && && &D:\lscc\diamond\3.5_x64\license\license.
01:00 上传
& && &&&在包装盒中的编程模块需要USB驱动,通常情况下Diamond安装好了之后能够自动识别编程模块。如果不能自动安装驱动程序,需要先下载驱动程序,根据不同的系统下载驱动版本。
& && &&&Step FPGA的编程模块也是通过Micro USB线和电脑相连,因此准备Step FPGA开发环境需要两根Micro USB连接线。驱动安装好以后就可以开始编译下载程序了。
注册时间最后登录阅读权限200积分111002精华0帖子
该用户从未签到
& && & 下面我们可以开始可编程逻辑的开发,我们以控制LED交替闪烁为例,完成自己的第一个程序。
& && & 1、运行Diamond软件,选择File →New →Project →Next
01:32 上传
& && &&&2、我们将新工程命名为LED_shining,工程目录G:/LED_shining,然后Next
01:33 上传
& && &&&3、添加相关设计文件或约束文件,这里我们新建工程,不需添加,直接Next
01:33 上传
& && &&&4、器件选择:按照Step FPGA开发板器件LCMXO2-1200HC-4MG132C配置,Next
01:33 上传
& && &&&5、选择综合工具,Synplify Pro(第三方)和Lattice LSE(原厂)都可以,我们就使用Lattice LSE,直接Next
01:33 上传
& && &&&6、工程信息,上面选择的所有信息都在这,直接Finish
01:33 上传
& && &&&7、工程已经建好,我们下面添加设计文件
选择File →New →File
01:33 上传
& && &&&8、选择Verilog Files,Name填写LED_shining,然后New,
这是软件打开的设计文件,LED_shining.v,我们就可以编程了
01:33 上传
& && &&&9、程序源码如下,复制到设计文件LED_shining.v中,并保存。/**************************************************
module: LED_shining
author: wanganran
description: LED shining with clock divide
input: clk_in,rst_n_in
output: led1,led2
date:
**************************************************/
module LED_shining
(
input clk_in,&&//clk_in = 25mhz
input rst_n_in,&&//rst_n_in, active low
output led1,&&//led1 output
output led2&&//led2 output, opposite with led1
);
parameter CLK_DIV_PERIOD=; //related with clk_div's frequency
reg clk_div=0;
//wire led1,led2;
assign led1=clk_
assign led2=~clk_
//clk_div = clk_in/CLK_DIV_PERIOD, duty cycle is 50 percent
reg[24:0] cnt=0;
always@(posedge clk_in or negedge rst_n_in)
begin
& && &&&if(!rst_n_in)
& && && && && & begin
& && && && && && && && &cnt&=0;
& && && && && && && && &clk_div&=0;
& && && && && & end
& && &&&else begin
& && && && && & cnt&=cnt+1;&&
& && && && && & if(cnt==(CLK_DIV_PERIOD-1)) cnt&=0;
& && && && && & if(cnt&(CLK_DIV_PERIOD/2)) clk_div&=0;
& && && && && & else clk_div&=1;
& && &&&end
end
endmodule复制代码10、综合,在软件左侧Process栏,选择Process,双击Synthesis Design,对设计进行综合,综合完成后Synthesis Design显示绿色对勾,如图
01:33 上传
& && &&&11、分配管脚,选择Tools →Spreadsheet View,界面如下
01:33 上传
& && & 12、我们将管脚分配,并设置IO_TYPE为LVCMOS33,保存,如下图
01:33 上传
& && & 13、在软件左侧Process栏,选择Process,勾选所有选项,直接双击Export Files,所有布局布线输出依次完成,结束后,所有选项显示绿色对勾。
01:33 上传
01:33 上传
& && & 到这里完成了第一个程序流文件的生成,下面可以下载到FPGA中。
注册时间最后登录阅读权限100积分283精华0帖子
TA的每日心情奋斗 13:28签到天数: 43 天[LV.5]常住居民I
本帖最后由 鸿鹄部落 于
15:09 编辑
工程仿真上面我们走了整个工程开发的过程,例程较为简单,对于复杂的工程开发需要预仿真和后仿真等,保证最终的程序设计逻辑和时序符合我们的设计要求。仿真软件很多,这里我们使用软件自带的Active-HDL软件进行仿真首先我们添加testbench文件,和前面添加设计文件一样,File →New→File →Verilog Files,Name填写,然后New,
15:00 上传
测试源码如下,复制到LED_test.v文件并保存:/**************************************************
module: LED_test
author: wanganran
description: The testbench for module LED_shining
input:
**************************************************/
`timescale 1ns / 100ps
module LED_
parameter CLK_PERIOD = 40;&&//CLK_PERIOD=40ns, Frequency=25MHz
reg sys_
initial
& && &&&sys_clk = 1'b0;
always
& && &&&sys_clk = #(CLK_PERIOD/2) ~sys_
reg sys_rst_n;&&//active low
initial
& && &&&begin
& && && && && & sys_rst_n = 1'b0;
& && && && && & #200;
& && && && && & sys_rst_n = 1'b1;
& && &&&end
wire led1,led2;
LED_shining LED_shining_uut
(
.clk_in(sys_clk),&&//clk_in = 25mhz
.rst_n_in(sys_rst_n),&&//rst_n_in, active low
.led1(led1),&&//led1 output
.led2(led2)&&//led2 output, opposite with led1
);
endmodule
复制代码然后在软件左侧Process栏,选择File List,找到LED_test.v,右键选择Include for →Simulation
15:00 上传
为了方便仿真,我们将LED_shining.v文件中的时钟分频周期缩短,更改并保存parameter CLK_DIV_PERIOD=50;//;//related with clk_div's frequency仿真结束,编译下载时再恢复。重新编译整个工程,然后选择Tools →SimulationWizard →Next,建立仿真工程,ModelSim和QuestaSim需要自行安装并与Diamond关联,才能直接调用,我们使用Active-HDL这里我们选择Active-HDL(默认),工程名称:LED_test,工程路径在Diamond工程路径下新建LED_test 文件夹:**/LED_shining/LED_test,然后Next,
15:00 上传
15:00 上传
勾选Copy Source toSimulation Directory,Next
15:00 上传
15:00 上传
15:00 上传
等待=====Active-HDL软件自动运行并显示仿真时序,查看仿真结果。
15:00 上传
注册时间最后登录阅读权限100积分283精华0帖子
TA的每日心情奋斗 13:28签到天数: 43 天[LV.5]常住居民I
下载程序将编译完成的程序加载到Step FPGA开发板选择Tools →Programmer,选择下载器HW-USBN-2B(FTDI),然后点击OK,进入Programmer界面
15:12 上传
将Step FPGA开发板、下载器和电脑连接,如图
15:12 上传
在Programmer界面,点击右侧Detect Cable,下面Cable 显示HW-USBN-2B(FTDI),否则点击Cable,自行选择HW-USBN-2B(FTDI),然后点击下图中Program
15:12 上传
显示PASS,加载完成,观察StepFPGA的LED交替闪烁,成功了。
15:12 上传
注册时间最后登录阅读权限100积分283精华0帖子
TA的每日心情奋斗 13:28签到天数: 43 天[LV.5]常住居民I
实验案例--时钟分频
这是一个基础的模块,可以作为后续编程中的子模块使用本程序实现时钟分频,输出两路不同占空比的分频信号
程序源码如下:/**************************************************
module: Clock_div
author: wanganran
description: clock divide, generate pulse and 50 percent clock_div
input: clk_in,rst_n_in
output: clk_div_pulse_out,clk_div_50per_out
date:
**************************************************/
module Clock_div
(
input clk_in,&&//clk_in = 25mhz
input rst_n_in,&&//rst_n_in, active low
output reg clk_div_pulse_out,&&//clock divide output, duty cycle = 1/CLK_DIV_PULSE_PERIOD(one clk_in period)
output reg clk_div_50per_out&&//clock divide output, duty cycle is 50 percent
);
parameter CLK_DIV_PULSE_PERIOD=10; //related with clk_div_pulse_out's frequency
parameter CLK_DIV_50PER_PERIOD=10; //related with clk_div_50per_out's frequency
//clk_div_pulse_out = clk_in/CLK_DIV_PULSE_PERIOD, duty cycle is 1/CLK_DIV_PULSE_PERIOD(one clk_in period)
reg[24:0] cnt1=0;
always@(posedge clk_in or negedge rst_n_in)
& & & & begin
& & & & & & & & if(!rst_n_in)
& & & & & & & & & & & & begin
& & & & & & & & & & & & & & & & cnt1&=0;
& & & & & & & & & & & & & & & & clk_div_pulse_out&=0;
& & & & & & & & & & & & end
& & & & & & & & else if(cnt1==(CLK_DIV_PULSE_PERIOD-1))
& & & & & & & & & & & & & & & & begin
& & & & & & & & & & & & & & & & & & & & cnt1&=0;
& & & & & & & & & & & & & & & & & & & & clk_div_pulse_out&=1;
& & & & & & & & & & & & & & & & end
& & & & & & & & else begin
& & & & & & & & & & & & & & & & cnt1&=cnt1+1;&&
& & & & & & & & & & & & & & & & clk_div_pulse_out&=0;
& & & & & & & & & & & & end
& & & & end
//clk_div_50per_out = clk_in/CLK_DIV_50PER_PERIOD, duty cycle is 50 percent
reg[24:0] cnt2=0;
always@(posedge clk_in or negedge rst_n_in)
begin
& & & & if(!rst_n_in)
& & & & & & & & begin
& & & & & & & & & & & & cnt2&=0;
& & & & & & & & & & & & clk_div_50per_out&=0;
& & & & & & & & end
& & & & else begin
& & & & & & & & cnt2&=cnt2+1;&&
& & & & & & & & if(cnt2==(CLK_DIV_50PER_PERIOD-1)) cnt2&=0;
& & & & & & & & if(cnt2&(CLK_DIV_50PER_PERIOD/2)) clk_div_50per_out&=0;
& & & & & & & & else clk_div_50per_out&=1;
& & & & end
end
endmodule
复制代码测试源码如下:/**************************************************
module: Clock_div_test
author: wanganran
description: The testbench for module Clock_div
input:
**************************************************/
`timescale 1ns / 100ps
module Clock_div_
parameter CLK_PERIOD = 40;&&//CLK_PERIOD=40ns, Frequency=25MHz
reg sys_
initial
& & & & sys_clk = 1'b0;
always
& & & & sys_clk = #(CLK_PERIOD/2) ~sys_
reg sys_rst_n;&&//active low
initial
& & & & begin
& & & & & & & & sys_rst_n = 1'b0;
& & & & & & & & #200;
& & & & & & & & sys_rst_n = 1'b1;
& & & & end
wire clk_div_pulse_out,clk_div_50per_
Clock_div Clock_div_uut
(
.clk_in(sys_clk),&&//clk_in = 25mhz
.rst_n_in(sys_rst_n),&&//rst_n_in, active low
.clk_div_pulse_out(clk_div_pulse_out),&&//clock divide output, duty cycle = 1/CLK_DIV_PULSE_PERIOD(one clk_in period)
.clk_div_50per_out(clk_div_50per_out)&&//clock divide output, duty cycle is 50 percent
);
& & & &
endmodule
复制代码仿真结果如下图所示:
11:03 上传
实际编译分配管脚信息如下:
11:03 上传
最后加载到开发板上,因为占空比较小的信号不易使用LED等效果观察,我们这里分配给了N3(GPIO1)和P2(GPIO2)管脚,我们使用示波器测量开发板标注1和2的管脚,观察波形。
11:03 上传
注册时间最后登录阅读权限100积分283精华0帖子
TA的每日心情奋斗 13:28签到天数: 43 天[LV.5]常住居民I
实验案例--PWM之呼吸灯
程序源码如下:/**************************************************
module: PWM_breath
author: wanganran
description: generate PWM single, breathing light for example in this code
input: clk_in,rst_n_in
output: pwm_out
date:
**************************************************/
module PWM_breath
(
input clk_in,
input rst_n_in,
output pwm_out&&//PWM output pin
);
//parameter for application
//parameter FREQUENCE=25_000_000; //clk_in = 25mhz, period of breath is 2 sec, speed up the breath by decrease FREQUENCE,
//parameter for simulation
parameter FREQUENCE=25_00; //clk_in = 25mhz
parameter&&WIDTH=9;
parameter&&TIME_OVER={WIDTH{1'b1}};
reg [WIDTH:0] state0;
reg [WIDTH-1:0] state1;
reg breathing_
assign pwm_out = breathing_
//count for period between rising and next rising
//or count for period between falling and next falling
reg [19:0] cnt0 = 0;
always@(posedge clk_in or negedge rst_n_in)
& & & & begin
& & & & & & & & if(!rst_n_in)
& & & & & & & & & & & & begin
& & & & & & & & & & & & & & & & cnt0&=0;
& & & & & & & & & & & & & & & & state0&=0;
& & & & & & & & & & & & end
& & & & & & & & else begin
& & & & & & & & & & & & & & & & if(cnt0==(FREQUENCE/(2**(WIDTH-1))))
& & & & & & & & & & & & & & & & & & & & begin
& & & & & & & & & & & & & & & & & & & & & & & & cnt0&=0;
& & & & & & & & & & & & & & & & & & & & & & & & state0&=state0+1'b1;
& & & & & & & & & & & & & & & & & & & & end
& & & & & & & & & & & & & & & & else cnt0&=cnt0+1'b1;
& & & & & & & & & & & & end
& & & & end
//changeable reference voltage swing between 0 and {WIDTH{1'b1}} slowly
always@(posedge clk_in or negedge rst_n_in)
& & & & begin
& & & & & & & & if(!rst_n_in) state1&=0;
& & & & & & & & else if(state0[WIDTH]) state1&=state0[WIDTH-1:0];
& & & & & & & & else state1&=~state0[WIDTH-1:0];
& & & & end
//changeable data swing between 0 and {WIDTH{1'b1}} quickly
reg [WIDTH-1:0] cnt1;
always@(posedge clk_in or negedge rst_n_in)
& & & & begin
& & & & & & & & if(!rst_n_in) cnt1&=0;
& & & & & & & & else if(cnt1==TIME_OVER) cnt1&=0;
& & & & & & & & else cnt1&=cnt1+1'b1;
& & & & end
//compare changeable data with changeable reference voltage
always@(posedge clk_in or negedge rst_n_in)
& & & & begin
& & & & & & & & if(!rst_n_in) breathing_led&=1;
& & & & & & & & else if((cnt1+TIME_OVER/5)&=state1) breathing_led&=0; //if(cnt1&=state1) for normal, TIME_OVER/5 just for time keep led=1
& & & & & & & & else breathing_led&=1;&&//led not light
& & & & end
endmodule
复制代码注:上面程序中对FREQUENCE参数的定义有两个,仿真时我们为了方便观察,使用2500即可,实际加载到Step FPGA开发板时为了更加可视化,我们使用。测试源码如下:/**************************************************
module: PWM_breath_test
author: wanganran
description: The testbench for module PWM_breath
input:
**************************************************/
`timescale 1ns / 100ps
module PWM_breath_
parameter CLK_PERIOD = 40;&&//CLK_PERIOD=40ns, Frequency=25MHz
reg sys_
initial
& & & & sys_clk = 1'b0;
always
& & & & sys_clk = #(CLK_PERIOD/2) ~sys_
reg sys_rst_n;&&//active low
initial
& & & & begin
& & & & & & & & sys_rst_n = 1'b0;
& & & & & & & & #200;
& & & & & & & & sys_rst_n = 1'b1;
& & & & end
wire pwm_
PWM_breath PWM_breath_uut
(
.clk_in(sys_clk),&&//clk_in = 25mhz
.rst_n_in(sys_rst_n),&&//rst_n_in, active low
.pwm_out(pwm_out)&&//PWM output pin
);
& & & &
Endmodule
复制代码仿真结果如下图所示:我们可以看到pwm_out信号从1点→2点→3点,占空比从低到高到低的变化。
11:11 上传
实际编译分配管脚信息如下:
11:11 上传
11:11 上传
最后加载到开发板上,我们将pwm_out信号分配给引脚A3(即LED1控制管脚),观察LED等呼吸效果。
分区版主职务勋章
爱板网分区版主
站长推荐 /1
Marvell ESPRESSObin是一款高性能64位双核网络计算平台。该平台基于ARMv8架构,运行功率低,配备Marvell最新的Armada 3700双核SoC芯片组,运行频率最高可达1.2GHz。 即日起,在爱板网进行板卡申请,即有机会获得该板卡试用机会。点击查看详情!
Powered by Discuz!Netvox IoT Starter Kit简介
——人民币3688元帮您快速建立和拥有物联网系统
智慧产品圈
  IoT Starter Kit 简述  Netvox IoT Starter Kit,即Netvox解决方案入门套件:提供了相关的物联网应用范例作为参考,并获得Netvox软件与硬件支持;帮助企业更加快速地掌握IoT相关产品的开发与运用,建立多套完整的物联网解决方案,促进产业结构物联网化转型。  入门套件基本组成  1.Netvox IoT 物联网开发平台安装说明  2.Netvox IoT 开发平台免费授权  3.RESTful API文件:供开发者建立自己独特的物联网应用  4.API教学影片  5.ZigBee无线设备与传感器相关应用之程序代码范例  6. 安卓软件开发之APP程序代码范例  7.奈伯思物联网免费账号一个  我们将在此以室内温度控制系统方案例,对Starter Kit的运用进行详细说明和介绍,以便帮助您更好地利用该套件来完成物联网解决方案系统的建立。  所需设备列表  Z108A:作为ZigBee 网络的USB传输器  Z809A:可远程控制的智能插座  Z311J:充当ZigBee终端设备的门/窗口磁感应器  Z716A:温湿度感应器  Raspberry Pi II : 物联网系统开发智能控制器  假设两个分别连接环境控制的电器设备。  1.当温度高于30℃时,两个智能插座将被打开。  2.当温度高于25℃,低于30℃时,一个智能插座打开,一个关闭。  3.当温度低于25℃ ,两个智能插座将被关闭。  4.当门/窗磁传感器是打开的,两个智能插座将打开。  5.当门/窗磁传感器是关闭的,两个智能插座将关闭。  具体应用场景举例  假设两个智能插座控制的分别是空调和加湿器:  温度高于30℃——两个智能插座打开——空调开启、加湿器开启  温度25℃-30℃——一个智能插座打开——空调开启  温度低于25℃——两个智能插座关闭——空调、加湿器均关闭  假设两个智能插座分别控制董事长办公室和保安室的灯;  同时将门磁安装于保险柜门处。  门窗磁感应器打开——两个智能插座开启——董事长办公室灯亮、保安室灯亮  门窗磁感应器关闭——两个智能插座关闭——董事长办公室灯灭、保安室灯灭  同时手机APP推送保险箱被打开的消息。  在该套方案内您可利用所需的5种设备,实现不同条件下的电器设备的自动控制。  除此应用范例外,开发者可参照应用程序范例和APP范例开发更多不同的物联网应用组合。  更多应用组合,就请尽情发挥自己的想象力。  程序源码说明  Android SDK——提供 APP开发程序源码。  Encryption——保护数据的传输安全。  RESTful API——设备和系统之间数据传输的常用方法。  您可参照所需源码对相应平台的软件和系统进行自主设计架构,从而开发出一个真正适应需求的物联网应用。  我们的产品特色  安装指南:  可依照IoT开发平台说明安装并执行系统开发平台软件。  -------------------  免费授权 :  IoT 开发平台中Starter Kit相关免费授权,可对平台进行开发与评估。  -----------------  APP范例源码 :  了解如何应用ZigBee网络的无线传感器设备,利用Netvox物联网入门套件完成更多物联网应用的开发,并拓展至完整的物联网的整体解决方案。  ----------------  树莓派II开发板平台:  控制超150+Netvox ZigBee HA1.2无线设备和传感器。  -------------------  云端管理与控制平台:  让无线设备与手机或网页的应用程序沟通,以便于搜集数据,管理和分析物联网相关应用。  远程识别并安全地连接产品,诊断和解决设备问题。  自动化软件搭建和配置管理多台设备。  ---------------  UL, FCC, CE 认证:  产品更安全、更可靠。  IoT Starter Kit的运用将给使用者带来什么?  1. 快速掌握IoT开发平台的使用方法。  2. 开发出更多适应需求的物联网新应用,从而组建一套完整的物联网系统。  3. 让企业的设备管理、整体运转等变得更加高效、更加系统化。  4. 促使企业完成产业结构物联网化的转型,让企业的发展不断迈上新的高度。  史上最超值的体验  市面上,  1部iPhone 6S=5000+RMB  ----------------------  而我们全套完整的物联网入门套件,  现在只要人民币3688元!  它带来的远不止单个智能产品的体验!  -------------------------------  只要3688元,  就能为您带来前所未有的物联网开发体验!  只要3688元,  就能为企业自主打造全方位的物联网系统!  相信您再找不到比这更高的性价比,  精彩绝对不容错过!
继续阅读>>
热门关键词

我要回帖

更多关于 web starter kit 教程 的文章

 

随机推荐