基于fpga优点产生高斯白噪声具有哪些优点

12基于FPGA的高斯白噪声发生器设计
上亿文档资料,等你来发现
12基于FPGA的高斯白噪声发生器设计
基于FPGA的高斯白噪声发生器设计申艳1,王新民;作者:作者单位:刊名:英文刊名:年,卷(期):被;申艳,王新民,陈后金,SHENYan,WANGX;申艳,陈后金,SHENYan,CHENHouji;MODERNELECTRONICSTECHNIQ;参考文献(10条);1.VasilescuGElectronicNo;2.Dong-ULee.JohnDVil
基于FPGA的高斯白噪声发生器设计申艳1,王新民2,陈后金1100044;2.北京无线电测量研究所北京100039)(1.北京交通大学电子信息工程学院北京摘要:高斯白噪声发生器用于雷达系统和通信信道的测试,采用现场可编程门阵列(FPGA)实现噪声发生器的设计,在Altera公司的QuartusII软件环境下,进行模块化设计方案,将FPGA实现的功能分为m序列产生模块、FIR数字滤波器模块、DDS模决和舍成模块四个主要功能性模块,详细分析了m序列发生算法、FIR滤波算法和DDS算法。应用VHDL语言实现模块功能性设计。该系统采用CycloneⅡ芯片EP2C8N,输出噪声带宽可调,计算量小,可重复性好。关键词:高斯白噪声;m序列;FPGA;VHDL中图分类号:TP274文献标识码:A文章编号:1004―373X(2009)23―197一04DesignofWhiteSHENGaussianNoiseGeneratorBasedYanl,WANGXinmin2,CHENJiaotongonFPGAHoujinl(1.SchoolofElectronicandInformationEngineering,BeijingUniversity,Beijing,100044,China2.BeijingWirelessMeasurementInstitute,Beijing,100039,China)Abstract:ThewhiteGaussiannoisegeneratorgeneratorisusedtOtesttheradarsystemandthecommunicationchannel.ThenoiseisdesignedbyFPGAtechnology.WiththehelpofQuartusⅡsoftwareofAlteraCompany,thefunctionofFPGAissequencedividedintofourunits,includingmtogeneratingunit,FIRfilteringunit,DDSunitandsynthesizingunit.ThelanguageusesVHDLisadoptedcanaccomplishtheunitdesign.ThedesigncanthechipCyelonelIEP2C8N,andtheoutputnoisebandwidthbeadjusted,thecomputationisless,andthenoisebegeneratedrepeatedly.Keywords:whiteGaussiannoise;msequence;FPGA;VHDL波、三角渡、锯齿波、方波等函数波,通过更改现场可编0引言程器件的配置波形数据也可产生其他复杂函数波形。1高斯白噪声发生器原理现代通讯电子设备的抗干扰测试已经成为必须的测试项目,主要的干扰类型为噪声干扰。在通信信道测试和电子对抗领域里,噪声始终是最基本、最常用的干扰源之一。如何产生稳定和精确的噪声信号已经成为一个重要的研究领域n]。其中,带限白噪声信号时间相关性小,目前应用最广泛。现有的硬件高斯白噪声发生器通常分为物理噪声发生器和数字噪声发生器两类。数字噪声发生器虽然没有物理噪声发生器的精度高,但是实现电路较为简单,易于应用。FPGA技术的发展,提高了硬件噪声发生器的速度和性能,相比基于软件实现的噪声发生器,展现出更大的优势[2‘4]。本文设计的高斯白噪声发生器采用FPGA的方式实现.输出的基带白噪声带宽可调,范围为1~66本文所述的高斯白噪声发生器如图1所示。离斯自噪户图1基于FPGA的高斯白噪声发生器原理框图首先,在现场可编程门阵列(FieldGateProgrammableArray,FPGA)平台上以一个统一的时钟速度(以后称之为噪声发生速度,即f0)生成高速m序列伪随机码流,对该序列进行有限冲击响应(Finite列,同时在FPGA中实现直接数字综合(DirectImpulseResponse,FIR)数字滤波处理,得到带限白噪声数字序DigitalMHz,步进3MHz,幅度8位可调,同时可产生正弦收稿日期:2009―09~16基金项目:国家自然科学基金资助项目(60872081);北京市自然科学基金(4092034);北京交通大学人才基金(w07J0250)Synthesizer,DDS)算法,产生正弦数字序列,并与噪声序列合成;其次,将以上得到的数字序列通过高速数/模转换器(DigitalAnalogConverter,DAC)转换为模拟噪声信号;再次,通过LC低通滤波器以及放大器转换为】97万方数据 模拟带限白噪声和正弦信号,该信号即为基带自噪声信号。下面对涉及的基本算法进行分析和仿真。高斯白噪声发生方法中涉及伪随机码发生算法、数字滤波算法和正弦波发生算法。本文详细论述这几种算法,及其在FPGA上的实现方法,分析了各种算法在频域上的频谱特性。2高斯白噪声发生器算法分析2.1伪随机码发生算法伪随机码(Pseudo―randomSequence,PS)的性能指标直接影响产生白噪声的随机性,是系统设计的关键。通常产生伪随机码的电路为一反馈移存器,分为线性和非线性两类。前者产生周期最长的二进制数字序列为最大长度线性反馈移存器序列,简称m序列。本文采用的就是m序列伪随机码‰61。产生m序列的反馈移存器的递推方程可以写为:a。=c,卜1a,r-1④f,r2an-2o…④clal①Coao=∑叩。(1)它给出了移位输入口。与移位前各级状态的关系。特征多项式写为:,(z)=Co+clz+c2,+…+CnX“一∑C,X‘(2)它决定了移位寄存器的反馈连接和序列的结构。m序列的自相关函数可表示为:如脚c几,一bm;i:㈣式(3)为一个周期(m=2“一1)内的函数,其中L为伪随机噪声码元的宽度。整个时域的自相关函数的周期为m=2”一1。信号的自相关函数与功率谱密度构成一对傅里叶变换,因此m序列的自相关函数经过傅里叶变换,其功率谱密度为:P知,=等“nc2(芋)?m。\Z』。塞d(_2…nn、,-Fm12议叫)(4)假定零频处的功率为1,那么功率下降为0.5处的频率为:s-nc2(等)一丢一∞=o.45cuo㈣其典型的功率谱密度如图2所示。由图2可以看出,m序列的功率谱密度的包络是万 方数据[(sinx)/z32形的,它约在伪随机序列基本时钟频率的45%带宽内具有均匀功率谱密度,所以用滤波器滤除该频带内的信号就可以近似看作带限白噪声。m序列的均衡性、游程分布、自相关特性和功率谱与随机序列的基本性质很相似,所以m序列属于伪噪声的序列或伪随机序列。fal线件幅度一卜如●_Er=二―-L――■‘L+一―-――Ln?――j一r_、0一{一,!J.』一..1,一矿卜。――-L--一一_卜~一_一=一一;一~一.1I…一4一一一.I十1~叶~一!一l-}卜.~:NormalizedFrequency(bJ对数l黼度图2用采样频率规一化后的m序列功率谱密度2.2FIR数字滤波算法m序列的功率谱是固定的,要生成带宽可调的数字噪声序列需要对m序列进行低通数字滤波,本文采用的是FIR数字滤波器‘引。由Lindebergr83定理可知,设有独立随机变量序列{£},且E氕=口。,D邑=磋,k=1,2,…,咒,B:一∑蠢,正j则:!imPf丢k妻=lc&~,<z}=爿二e-季…6,该定理证明了由大量微小且独立的随机因素引起,并积累而成的变量,必是一个正态随机变量。FIR滤波器的单位冲激响应为JIl(,z),0≤n≤N一1,输入函数为z(i),则输出函数y(i)可以写为:了(i)一∑^(咒)?x(i~以)(7)该算法需要N次相乘,N一1次累加。为了产生带宽小于5MHz高质量的数字噪声序列,需要构建窄通带、通带阻带转换迅速的低通滤波器,对此仅仅增加单级FIR滤波的冲激相应长度7"/是不够的,对此本文采用了多级FIR数字滤波的方法。为了使得多路多级AGPF。0白IFIR滤波器能够在常用FPGA平台上实现,对FIR数字滤波模型进行算法优化,以节约所需逻辑单元资源是很有必要的。采用单位冲激相应h(咒)为偶函数的FIR滤波器,并取阶数N为奇数,则式(6)可以化简为:学一,y(i)=芝:h(咒)?[z(i一砚)+x(i+,l―N+1)]+^(孚)?2(i一孚)㈣采用该方法可以将FIR算法中乘的次数减半,总计算量减为(N+1)/2次相乘,N一2次累加,极大地节省了FPGA的逻辑单元资源。FIR的滤波过程实质上就是一个延迟后加权相加的过程,即滤波输出y(i)是输入z(i)以及它的前N一1个状态的加权叠加。2.3DDS算法随着数字集成电路和微电子技术的发展,直接数字频率合成器(DirectDigitalSynthesizer,DDS)逐渐体现出其具有相对带宽宽,频率转换时间短,频率分辨率高,输出相位连续,可编程及全数字化结构等优点[9]。DDS的基本工作原理是根据正弦函数的产生,从相位出发,用不同的相位给出不同的电压幅度,最后滤波平滑出所需要的频率。图3是DDS的原理方框图。频率输出栉制字足拟n号,J、o相位增量的大,J、随夕卜指令频率控制字K的不同而至要:j曼,兰妻了:鬯譬竺苎:竺专。翌三皇,鐾苎衰::耄要苎要竺篓堡要苎要,曼冀差妻要矍!篡蔓塑.璺碧!梦中的苎竺竺麦竺兰换成近竺乏苎篓幅度的数字量函数。以IH上L的算法都可在内部实现。望:黧篓竺‘三妻鼍鼍要夏l鬟竺!:髦黧篓声的3竺一一……FP蚍GA意戮全-ter关。恐:翌苎篓114。Jl:u.//gL黑.A.11:::1嚣霉嚣毒慧莩茎蓍篇冀的叻毯慧詈篡蛐篓苎耍资源扪篓磊虿毒晶赢性服从磊淼:赫嘉要。资源;时钟采用50MHz、稳定度为50ppm的万 方数据有源晶振,通过EP2C8内部PLL(PhaseLockedLoop,锁相环)3倍频到150MHz作为系统全局时钟;采用ADI公司的AD9731进行D/A转换,采样速度150MSPS,10位;对AD9731输出的电流信号进行7阶LC低通滤波,然后进行放大,使得噪声信号的满幅输出都达到峰峰值3V。图4是频率为195kHz最大输出幅度的四种函数波测试结果。图4频=9函5数k嚣篙出幅度的从图4可以看出,采用DDs模块,得到-tie弦波、毒;磊孬主;蚤。。’~“”一~dB,毒;萌。1_.09I:V磊l,喜蒿军备主凳二,.sd毒……’’“~“…“”“”“”…”””’~……~一三角聂:磊齿装磊¥;磊≤形。i;;’芸≤;和言茹聂夏星篡;薹葛.5‘MHz磊;磊萎‘ii毒:葛毛主磊蓄蕃磊妄’:‘,M蕞。晶高H斯]4验证了本文设计的有效性。参考文献[1]VasileseupiesandG.ElectronicNoiseandInterferingSignals:Princi―Applications[M].Berlin:Springer―Verlag,2005.Lee,JohnDVillasenor,WayneLuk,eta1.AGaussian1,23Dong―UNoiseGeneratorforHardware―BasedSimulationsEJ].IEEETrans.onCom―puters,2004,53(12):1523-1534.图5带宽为5MHz高斯白噪声的实验结果[3]Dong―ULee,WayneLuk,JohnDVillasenor,etal.AHard―wareGaussianNoiseGeneratorUsingtheWallaceMethod[J].IEEETrans.onVeryLargeScaleIntegration(VI。SI)Systems,2005,13(8):911―920.[43Dong―ULee,JohnDVillasenor,WayneLuk,etal.AHard―NoiseGeneratorUsingthewareGaussianBox―MullerMethodandItsErrorAnalysisFJ].IEEETrans.onCompu。ters,2006,55(6):659―671.1-53查光明,熊贤祚.扩频通信[M].西安:西安电子科技大学出版社,1990.[6]官朝晖.用于噪声干扰的伪随机噪声源rJ].电子对抗技术,图6输出高斯白噪声统计直方图1998。13(2):1―6.[7]Shenoi4结语FilterBA.IntroductiontoDigitalSignalProcessingandDesign[-M].JohnWiley,2006.Feller.AnIntroductionto设计了一种基于FPGA高斯白噪声发生器,分析了该种噪声发生器所用的m序列发生算法,FIR数字滤波算法和DDS算法,可产生带宽为3~66MHz,步进3MHz,幅度8位可调的高斯白噪声;采用现场可编[8]WilliamitsProbabilityTheoryandApplicationsl,M].JohnWiley&Sons,1971.J,RaderC[9]TierneyM,GoldB.ADigitalFrequencySynthe-sizerEJ].IEEE19(1):48―57.Trans.onAudioElectroacoust.,1971:AE一程门阵列(FPGA)实现噪声发生器的设计,在Ahera公司的QuartusII软件环境下,实现了基于FPGA的[10]TsoiKH,1.eungKH,LeongPHW.CompactFPGA―basedTrueandPseudoRandomNumber11thAnnualCustomIEEESymposiumonGenerators[A].rn序列产生模块、FIR数字滤波器模块、DDS模块和合成模块,通过数/模转换器和低通放大,得到了可用于雷达系统和通信信道测试的高斯白噪声信号。实验结果Field―ProgrammableComputingMachines[C].Napa,California,2003:1023―1033.o,o'o’cot巧tocoh:刁心艺―>:h),o,亡,o,o_,亡70’cot9t,:t々coh=,c々c刁tot,:‘哆Co心专h>,o’e_,o_,o’070’亡?too'亡?c'co心oco心专tot勺^:oo々C.)o’?(上接第196页)[43杨睿,剂世丹.GPRS在智能交通系统中的应用[J].客车技术,2008(4):42―43.[53盛青松,冯志芬.基于GPRS的GPS车辆监控系统[J].今日科苑,2008(7):135.[9]Motorola[Z].2004.IsraelLtd..G20CellularEngineDescription1,10]孙莹.单片机远程数据采集系统设计[J].仪器仪表用户,2006,13(6):21―22.[6].王惠.基于GPRS的车载GPS导航系统的研究l-J].中国新通信,2006(15):41―43.[11]卢刚,程显蒙.基于GPRS和AT89C52的远程电力监测系统设计[J].自动化仪表,2008,29(11):40―42.[12]毛洋林,陈讽,潘志浩.用MCS一51单片机实现基于GPRS的INTERNET接入rJ].微计算机信息,2004,20(3):92―93.1-73Atmel.ATmegal6(L)Datasheet[z].2008,[8]黄任.AVR单片机与CPLD/FPGA综合应用入门[M].北京:北京航空航天大学出版社,2004.作者简介黄伟锋男,1979年出生,广东广州人,讲师,硕士。研究方向为电子信息及自动化技术应用。200万方数据 基于FPGA的高斯白噪声发生器设计作者:作者单位:刊名:英文刊名:年,卷(期):被引用次数:申艳, 王新民, 陈后金, SHEN Yan, WANG Xinmin, CHEN Houjin申艳,陈后金,SHEN Yan,CHEN Houjin(北京交通大学,电子信息工程学院,北京,100044), 王新民,WANG Xinmin(北京无线电测量研究所,北京,100039)现代电子技术MODERN ELECTRONICS TECHNIQUE)0次 参考文献(10条) 1.Vasilescu G Electronic Noise and Interfering Signals:Principles and Applications 20052.Dong-U Lee.John D Villasenor.Wayne Luk A Gaussian Noise Generator for Hardware-Based Simulations2004(12)3.Dong-U Lee.Wayne Luk.John D Villasenor A Hardware Gaussian Noise Generator Using the WallaceMethod 2005(08)4.Dong-U Lee.John D Villasenor.Wayne Luk A Hardware Gaussian Noise Generator Using the Box-MullerMethod and Its Error Analysis .查光明.熊贤祚 扩频通信 19906.官朝晖 用于噪声干扰的伪随机噪声源[期刊论文]-电子对抗技术 1998(02)7.Shenoi B A Introduction toDigital Signal Processing and Filter Design 20068.William Feller An Introduction to Probability Theory and its Applications 19719.Tierney J.Rader C M.Gold B A Digital Frequency Synthesizer 1971(01)10.Tsoi K H.Leung K H.Leong P H W Compact FPGA-based True and Pseudo Random Number Generators 2003 相似文献(10条)1.期刊论文 徐烁.麦启明 高斯白噪声任意波形发生器设计实验研究 -数字技术与应用2010(8)
采用赛普拉斯公司的混合信号可编程片上系统芯片PSoC和直接数字合成频率原理,通过PsoC Desiner集成开发平台,充分利用芯片灵活的模块化资源,设计出了一种基于PSoC硬件可调带宽和功率的任意波形发生器.创新之处在于利用一片芯片实现整个系统DDS原理的全部功能,系统电路具有结构简单、实用性强、可靠性高及便携等优点,系统结构得以简化并减少了调试时间.本系统具有较强的应用价值.2.期刊论文 虞贵财.邵玉斌.肖笛.Yu Guicai.Shao Yubin.Xiao Di 产生高斯白噪声的研究与实现 -电子科技2006(11)
提出了一种新的产生高斯白噪声的方法,此方法是用一个m序列通过低通滤波器后实现的,与传统方法相比,实现相对简单.并利用Matlab对此方法进行仿真,得到与理论分析一致的结果.3.学位论文 付冲 基于混沌分形理论的信息加密技术与复杂信道动力学模型研究 2006
混沌理论是研究自然界非线性过程复杂系统内在随机性所具有的特殊规律的一门新兴学科.分形理论与混沌密切相关,混沌是产生时空结构的物质非线性运动,而这种结构本身就是分形.混沌分形理论以新的时空观、新的手段来处理自然界中的复杂性难题,透过扑朔迷离的无序混乱现象和不规则形态,揭示隐匿在复杂系统内部的规律以及局部和整体之间的本质联系.混沌、分形理论的出现是人类科学史上的重大突破,拥有巨大的应用前景.
本论文对混沌分形理论在信息加密及复杂系统动力学模型分析中的应用进行了研究.信息安全是信息学中的一个重要研究领域,信息加密技术则是信息安全的基础.混沌理论的发展为信息加密技术提供了新的思路,混沌是确定性系统,而由其产生的序列是伪随机的,在理想条件下具有无限大的周期,具有类似高斯白噪声的统计特性.同时混沌系统对初始值和参数极端敏感,序列具有不可预测性并可以提供巨大的密钥空间,非常适合于信息加密系统.本文就混沌理论在流密码数据加密、数字图像加密及直接扩频通信三个领域展开讨论,在分析前人已有成果的基础上,分别针对以上三个领域提出了以密钥的Hash扩展和基于Pless生成器的混沌序列非线性变换为核心技术的可公开混沌流密码加密算法、基于Lorenz混沌系统的比特移位图像加密算法和基于Geffe序列生成器的混沌扩频序列生成方案三种改进与创新算法,仿真实验表明以上算法较传统混沌方案在性能上有明显的提高.
复杂系统中的不确定行为动力学模型研究具有重要的意义,是揭示系统时空演化特性,从而建立对系统精确描述模型的基础.本文以多径衰落信道为例,将非线性混沌、分形理论引入复杂系统动力学模型研究,并通过仿真验证了非线性模型较之传统随机模型的优势.
本文的主要工作及创新点有:
(1)研究了流密码加密技术中较为常用的基于线性反馈移位寄存器的m序列的伪随机性能并分析了其缺陷.将混沌理论引入到信息加密系统中,并以Logistic映射为例,对混沌信息加密的可行性进行了较为深入的分析.将混沌密序列钥流与m序列加以对比分析,验证其在序列周期、密钥空间及相关性能上的优势.给出了传统混沌流密码加密算法的原理与实现,对其在计算精度受限条件下的密钥空间小、序列呈周期性、易于通过自适应参数混沌同步攻击且算法不宜公开等缺陷进行了分析.在此基础上提出了一种以密钥的Hash扩展和基于Pless生成器的混沌序列非线性变换为核心技术的可公开的混沌流密码加密算法,有效地扩展了密钥空间并增加了序列线性复杂度,增强了抗密钥穷举搜索攻击和基于神经元网络的自适应混沌同步攻击能力.
(2)讨论了各种传统的图像加密技术并分析了这些方法存在的缺陷.在此基础上将混沌理论引入图像加密中,较为详细地阐述了目前混沌图像加密中最为常用的“空域复合”加密算法并加以实现,分析了其在加密速度上的不足.针对该缺点提出了一种基于Lorenz混沌系统的比特移位图像加密算法,通过采取合适的预处理与量化机制,形成基于Lorenz方程奇异吸引子的x,y,z三组高质量伪随机序列,通过控制参与移位操作的明文比特数、移位方三亿文库包含各类专业文献、中学教育、高等教育、外语学习资料、文学作品欣赏、幼儿教育、小学教育、12基于FPGA的高斯白噪声发生器设计等内容。 
 所以设计一个噪声产生器对于通信系统 的研究十分重要...其性能十分强大,基本都是 FPGA 或 DSP 作为核心...例如 UFX7000 系列高斯白噪声发生器,它是一款可编程...  高速设计和高 速测试的场合下,我们希望能够在FPGA中直接实现伪随机序列发生器。...预先确定, 但它们都具有类似白噪声的 相关特性, 只是幅度概率分布不再服从高斯...  基于FPGA 的高斯白噪声发生器设计 0 引言 现代通讯电子设备的抗干扰测试已经成为必须的测试项目,主要的干扰类型为噪声干 扰。在通信信道测试和电子对抗领域里,噪声始...  虽然物理噪声发生器精度较高,但是实现电路较为复杂,所以在工程中更多的选用 数字式噪声发生器。 本设计提出一种基于 FPGA 的高斯白噪声发生器。与传统方法不同,...  毕业设计开题报告 题 目: 基于 FPGA 的白噪声发生器信息与通信学院 信息对抗...现有的硬件高斯白噪声发生器通常分为物理噪声发生器和数字 噪声发生器两类 ,...  提出一种新的基于 FPGA 的高斯白噪声生成器的设计和实现方法,给出设计的总体框...中 AGWN 信号发生电路的设计和仿 真,其实质上是设计一个 AGWN 信号发生器。...  现有的硬件高斯白噪声发生器通常分为物理噪声发生 ...多功能波形发生器的设计... 0人阅读 56页 ¥20...毕业论文论文基于FPGA的... 0人阅读 23页 ¥30...  基于FPGA设计雷达目标检测... 3页 免费 被动毫米波...,255;G1(t)为高斯白噪声,G2(t)为杂波.高速 DSP...延时后的信号作为双口 RAM 读出数据时地址发生器的...君,已阅读到文档的结尾了呢~~
广告剩余8秒
文档加载中
扫扫二维码,随身浏览文档
手机或平板扫扫即可继续访问
基于FPGA的白噪声信号发生器的实现
举报该文档为侵权文档。
举报该文档含有违规或不良信息。
反馈该文档无法正常浏览。
举报该文档为重复文档。
推荐理由:
将文档分享至:
分享完整地址
文档地址:
粘贴到BBS或博客
flash地址:
支持嵌入FLASH地址的网站使用
html代码:
&embed src='/DocinViewer--144.swf' width='100%' height='600' type=application/x-shockwave-flash ALLOWFULLSCREEN='true' ALLOWSCRIPTACCESS='always'&&/embed&
450px*300px480px*400px650px*490px
支持嵌入HTML代码的网站使用
您的内容已经提交成功
您所提交的内容需要审核后才能发布,请您等待!
3秒自动关闭窗口基于FPGA的高斯白噪声发生器设计
基于FPGA的高斯白噪声发生器设计
0 引 言 现代通讯电子设备的抗干扰测试已经成为必须的测试项目,主要的干扰类型为噪声干扰。在通信信道测试和电子对抗领域里,噪声始终是最基本、最常用的干扰源之一。如何产生稳定和精确的噪声信号已经成为一个重要的研究领域。其中,带限白噪声信号时间相关性小,目前应用最广泛。现有的硬件高斯白噪声发生器通常分为物理噪声发生器和数字噪声发生器两类,数字噪声发生器虽然没有物理噪声发生器的精
0 引 言 现代通讯电子设备的抗干扰测试已经成为必须的测试项目,主要的干扰类型为噪声干扰。在通信信道测试和电子对抗领域里,噪声始终是最基本、最常用的干扰源之一。如何产生稳定和精确的噪声信号已经成为一个重要的研究领域。其中,带限白噪声信号时间相关性小,目前应用最广泛。现有的硬件高斯白噪声发生器通常分为物理噪声发生器和数字噪声发生器两类,数字噪声发生器虽然没有物理噪声发生器的精
型号/产品名
武汉天喻聚联网络有限公司
深圳市新信利电子经营部
深圳市新信利电子经营部
苏州德盈新店子

我要回帖

更多关于 fpga优缺点 的文章

 

随机推荐