求大神帮忙仿真一下VHDL分频器的设计与仿真模块,真心感谢!

用VHDL实现分频_百度知道
用VHDL实现分频
输入信号时50MHz,用VHDL语言实现分频,输出信号为1HZ
答题抽奖
首次认真答题后
即可获得3次抽奖机会,100%中奖。
模N计数器的实现一般设计中用到计数器时,我们可以调用lpm库中的计数器模块,也可以采用VHDL语言自己设计一个模N计数器。本设计采用VHDL语言设计一个最大模值为16的计数器。输入端口为:使能信号en,复位信号clr和时钟信号输出端口为:qa、qb、qc、qd。其VHDL语言描述略。带使能控制的异或门的实现输入端为:xor_en:异或使能,a和b:异或输入;输出端为:c:异或输出。当xor_en为高电平时,c输出a和b的异或值。当xor_en为低电平时,c输出信号b。其VHDL语言略。2分频(触发器)的实现输入端为:时钟信号clk,输入信号d;输出端为:q:输出信号a,q1:输出信号a反。其VHDL语言略。4.分频器的实现本设计采用层次化的设计方法,首先设计实现分频器电路中各组成电路元件,然后通过元件例化的方法,调用各元件,实现整个分频器。其VHDL语言略。
采纳率:99%
最近好多人问分频的问题啊。50MHz到1KHz,做一个25000的计数器,计数清零的时候让输出反向,这样就使频率除以50000.语句为:process(cp)beginif cp'event and cp='1' then
if q=&xxxxxxxxxxx“
--25000的二进制形式
--确保q的位数相等
cp_out&=not cp_
--cp_out就是输出。
end if把上面的xxxxxxxx分别设为2、10做成5、20分频的分频器,50MHz50000分频为1KHz,1KHz25分频成20Hz,20Hz分别进行8、20分频成2.5Hz和1Hz。抛砖引玉,具体程序你自己就可以写了。注意文件开头要写:use ieee.std_logic_1164.use ieee.std_logic_arith.use ieee.std_logic_unsigned.否则可能要报错哦。希望能采纳。
本回答被提问者采纳
为您推荐:
其他类似问题
分频的相关知识
换一换
回答问题,赢新手礼包
个人、企业类
违法有害信息,请在下方选择后提交
色情、暴力
我们会通过消息、邮箱等方式尽快将举报结果通知您。>> VHDL分频
所属分类:
下载地址:
fp.zip文件大小:200.82 kB
分享有礼! 》
请点击右侧的分享按钮,把本代码分享到各社交媒体。
通过您的分享链接访问Codeforge,每来2个新的IP,您将获得0.1 积分的奖励。
通过您的分享链接,每成功注册一个用户,该用户在Codeforge上所获得的每1个积分,您都将获得0.2 积分的分成奖励。
初学vhdl的一点启示
Sponsored links
源码文件列表
温馨提示: 点击源码文件名可预览文件内容哦 ^_^
fp.(0).cnf.cdb3.35 kB 12:34
fp.(0).cnf.hdb748.00 B 12:34
fp.asm.qmsg1.37 kB 12:34
84.00 B 12:34
fp.cmp.cdb20.48 kB 12:34
fp.cmp.hdb7.25 kB 12:34
&fp.cmp.qrpt&0.00 B 12:34
fp.cmp.rdb18.07 kB 12:34
fp.cmp.tdb19.46 kB 12:34
fp.cmp0.ddb28.70 kB 12:34
&fp.dbp&0.00 B 12:34
fp.db_info136.00 B 12:32
fp.eco.cdb141.00 B 20:10
fp.fit.qmsg19.92 kB 12:34
fp.hier_info990.00 B 12:34
fp.hif492.00 B 12:34
fp.map.cdb5.33 kB 12:34
fp.map.hdb6.56 kB 12:34
fp.map.qmsg1.53 kB 12:35
fp.pre_map.cdb2.79 kB 12:34
fp.pre_map.hdb5.90 kB 12:34
&fp.psp&0.00 B 12:34
fp.rtlv.hdb5.89 kB 12:34
fp.rtlv_sg.cdb2.75 kB 12:34
fp.rtlv_sg_swap.cdb158.00 B 12:34
fp.sgdiff.cdb4.57 kB 12:34
fp.sgdiff.hdb5.96 kB 12:34
fp.signalprobe.cdb691.00 B 12:34
fp.sld_design_entry.sci134.00 B 20:10
fp.sld_design_entry_dsc.sci134.00 B 12:34
&fp.syn_hier_info&0.00 B 12:34
fp.tan.qmsg22.84 kB 12:34
fp.asm.rpt7.15 kB 12:34
fp.bsf1.72 kB 12:35
fp.done26.00 B 12:35
fp.fit.eqn28.74 kB 12:34
fp.fit.rpt92.74 kB 12:34
fp.fit.summary399.00 B 12:34
fp.flow.rpt3.47 kB 12:34
fp.map.eqn16.91 kB 12:34
fp.map.rpt15.65 kB 12:34
fp.map.summary305.00 B 12:34
fp.pin38.63 kB 12:34
fp.pof512.18 kB 12:34
fp.qpf901.00 B 12:32
fp.qsf1.68 kB 12:34
fp.qws606.00 B 20:10
fp.sof274.91 kB 12:34
fp.tan.rpt57.70 kB 12:34
fp.tan.summary976.00 B 12:34
1.17 kB 12:33
(提交有效评论获得积分)
评论内容不能少于15个字,不要超出160个字。
评价成功,多谢!
下载fp.zip
CodeForge积分(原CF币)全新升级,功能更强大,使用更便捷,不仅可以用来下载海量源代码马上还可兑换精美小礼品了
您的积分不足,优惠套餐快速获取 30 积分
10积分 / ¥100
30积分 / ¥200原价 ¥300 元
100积分 / ¥500原价 ¥1000 元
订单支付完成后,积分将自动加入到您的账号。以下是优惠期的人民币价格,优惠期过后将恢复美元价格。
支付宝支付宝付款
微信钱包微信付款
更多付款方式:、
您本次下载所消耗的积分将转交上传作者。
同一源码,30天内重复下载,只扣除一次积分。
鲁ICP备号-3 runtime:Elapsed:ms - init:0.1;find:25.9;t:60.6;tags:11.6;related:15.2;comment:1.9; 5.8
登录 CodeForge
还没有CodeForge账号?
Switch to the English version?
^_^"呃 ...
Sorry!这位大神很神秘,未开通博客呢,请浏览一下其他的吧> > > VHDL经典案例源码有至少20个经典案例,如:自动售货机,分频器
VHDL经典案例源码有至少20个经典案例,如:自动售货机,分频器
已有 454926个资源
TI最新应用解决方案
上传者其他资源
FPGA/CPLD热门资源
本周本月全部
资源大小:165.35KB
上 传 者: ()
上传日期:
资源类型:应用文档
资源积分:1分
下载次数:2
参与讨论:
标&&&&签:
分&&&&享:
VHDL经典案例源码有至少20个经典案例,如:自动售货机,分频器
.myeclipse----|CVS----|----|Repository----|----|Root.settings----|CVS----|----|Entries----|----|Repository----|----|Rootorg.eclipse.core.resources.prefs.svn----|prop-basepropstext-base----|readme.txt.svn-basetmp----|prop-basepropstext-baseall-wcpropsdir-prop-baseentriesformatvhdl1----|VHDL.classpath.cproject.pdf.project.qmake.internal.cache.synopsys_dc.setup.synopsys_pt.setup
大学堂最新课程
请选择理由
若举报审核通过,可奖励2下载分
被举报人:
huhuhah0009
举报的资源分:
请选择类型
资源无法下载
资源分类不正确
资源无法使用
标题与实际内容不符
含有危害国家安全内容
含有反动色情等内容
含广告内容
版权问题,侵犯个人或公司的版权
* 详细原因:
EEWORLD下载中心所有资源均来自网友分享,如有侵权,请发送举报邮件到客服邮箱bbs_.cn 或通过站内短信息或QQ:联系管理员 高进,我们会尽快处理。豆丁微信公众号
君,已阅读到文档的结尾了呢~~
广告剩余8秒
文档加载中
扫扫二维码,随身浏览文档
手机或平板扫扫即可继续访问
VHDL分频器
举报该文档为侵权文档。
举报该文档含有违规或不良信息。
反馈该文档无法正常浏览。
举报该文档为重复文档。
推荐理由:
将文档分享至:
分享完整地址
文档地址:
粘贴到BBS或博客
flash地址:
支持嵌入FLASH地址的网站使用
html代码:
&embed src='http://www.docin.com/DocinViewer-4.swf' width='100%' height='600' type=application/x-shockwave-flash ALLOWFULLSCREEN='true' ALLOWSCRIPTACCESS='always'&&/embed&
450px*300px480px*400px650px*490px
支持嵌入HTML代码的网站使用
您的内容已经提交成功
您所提交的内容需要审核后才能发布,请您等待!
3秒自动关闭窗口帮忙看下vhdl的分频我哪里错了_百度知道
帮忙看下vhdl的分频我哪里错了
我是这么想的,将clk5分频,有个中间产物叫clk_temp,再去以clk_temp作为时钟,一个周期灯的状态变一回。仿真的波形如下图,clk_temp都输出时钟是0不说,led的电平也不对。大家帮忙看看呀,谢谢
可能图看不清楚,count5次led变一次,但是只过了一个clk周期就...
答题抽奖
首次认真答题后
即可获得3次抽奖机会,100%中奖。
library&use&ieee.std_logic_1164.use&ieee.std_logic_unsigned.entity&epld&isport(& clk:&in&&std_
led:&out&std_logic&&&&);architecture&im4a5&of&epld&is signal&count&:&integer&range&0&to&5; signal&clk_temp&:&std_logic:='0'; signal&led1:std_ &&& begin a:process(clk) begin
if&clk'event&and&clk='1'&then
if&count&3&then
count&=0;clk_temp&='1';
else&count&=count+1;clk_temp&='0';
end&end&process&a;b:process(clk_temp) begin
if&clk_temp'event&and&clk_temp='1'&then
led1&=not&led1;
end& end&process&b; led&=led1;end&im4a5;
采纳率:31%
为您推荐:
其他类似问题
分频的相关知识
换一换
回答问题,赢新手礼包
个人、企业类
违法有害信息,请在下方选择后提交
色情、暴力
我们会通过消息、邮箱等方式尽快将举报结果通知您。

我要回帖

更多关于 matlab 仿真模块 的文章

 

随机推荐