基于8051,adc0809能只接一路么的8路数据采集器

网站已改版,请使用新地址访问:
51ad 基于51单片机的8路AD电压采集与测量 用LCD对8路 进行显示 用ADC0809对信号 AD转 SCM 开发 238万源代码下载- www.pudn.com
&文件名称: 51ad
& & & & &&]
&&所属分类:
&&开发工具: C-C++
&&文件大小: 63 KB
&&上传时间:
&&下载次数: 84
&&提 供 者:
&详细说明:基于51单片机的8路AD电压采集与测量 用LCD对8路电压进行显示 用ADC0809对信号进行AD转换-Based on MCU 51 8 AD-voltage collection and measurement of the 8 voltage LCD display with ADC0809 AD converter on the signal. . .
文件列表(点击判断是否您需要的文件,如果是垃圾请在下面评价投诉):
&&基于51单片机的8路AD电压采集与测量\AD\123.DSN&&.................................\..\123.PWI&&.................................\..\Last Loaded 123.DBK&&.................................\..\adc0809\adc&&.................................\..\.......\adc.hex&&.................................\..\.......\adc.lnp&&.................................\..\.......\adc.M51&&.................................\..\.......\adc.Opt&&.................................\..\.......\adc.plg&&.................................\..\.......\adc.Uv2&&.................................\..\.......\adc0809.c&&.................................\..\.......\adc_Opt.Bak&&.................................\..\.......\adc_Uv2.Bak&&.................................\..\.......\led.c&&.................................\..\.......\ma.c&&.................................\..\.......\ma.LST&&.................................\..\.......\ma.OBJ&&.................................\..\.......\numcode.h&&.................................\..\.......\STARTUP.A51&&.................................\..\.......\STARTUP.LST&&.................................\..\.......\STARTUP.OBJ&&.................................\..\adc0809&&.................................\AD&&基于51单片机的8路AD电压采集与测量
&[]:纯粹是垃圾
&近期下载过的用户:
&相关搜索:
&输入关键字,在本站238万海量源码库中尽情搜索:
&[] - 这个在51下的keil 和 Proteus 连调成功的ad程序
&[] - max235芯片程序,实现电压采集,51单片机,1602显示电压值,精确度为0.001
&[] - 温度测控系统,80c51,adc0809,ad590
&[] - msp430与AD7328底层驱动程序。
&[] - AD转换实验,对于初学者是很不错的
参考,主要功能,将模拟电压用
单片机转换成数字电压,用数码管显示出来
&[] - STC单片机中AD转换程序,可以作为模板运用
&[] - 一个使用adc0809进行数据采集,采用1602液晶显示模拟电压的源程序,已经调试通过.
&[] - 基于c51单片机的ad0809-da0832数模-模数转换程序
&[] - 八路数据采集系统 的代码以及做好了的protel的详细的图,完全可用!!!
&[] - 用AD0804实现的电压采集系统,并用液晶12864显示,且用protues实现了仿真。使用8051单片机与ADC0809设计数据采集系统。
&www.8951.com
使用8051单片机与ADC0809设计数据采集系统
一.试验目的:了解数据采集系统得基本结构,实现一个简单的A/D转换电路.
二.试验器材:示波器,信号发生器,电源,单片机仿真器,89C51,ADC,74LS02,导线若干。
三.试验内容:&
1. 采用8051和ADC0809构成一个8通道数据采集系统。
&&&&&&& &&&&&&2.
能够顺序采集各个通道的信号。
&&&&&&&&&&&&& 3.
采集信号的动态范围:0~5V。
&&&&&&&&&&&&& 4.
每个通道的采样速率:100 SPS。
&&&&&&& &&&&&&5.在面包板上完成电路,将采样数据送入单片机20h~27h存储单元。
&&&&&&&&&&&&& 6.编写相应的单片机采集程序,到达规定的性能。
四.参考资料:
芯片管脚图:
& ADCADC0809模数转换器的引脚功能
&&& IN0~IN7:8路模拟量输入。
&&& A、B、C:3位地址输入,2个地址输入端的不同组合选择八路模拟量输入。
&&& ALE:地址锁存启动信号,在ALE的上升沿,将A、B、C上的通道地址锁存到内部的地址锁存器。
&&& D0~D7:八位数据输出线,A/D转换结果由这8根线传送给单片机。
&&& OE:允许输出信号。当OE=1时,即为高电平,允许输出锁存器输出数据。
&&& START:启动信号输入端,START为正脉冲,其上升沿清除ADC0808的内部的各寄存器,其下降沿启动A/D开始转换。
&&& EOC:转换完成信号,当EOC上升为高电平时,表明内部A/D转换已完成。
&&& CLK:时钟输入信号,0809的时钟频率范围在10~1200kHz,典型值为640kHz。
数据采集系统电路图:
ADC0809是带有8:1多路模拟开关的8位A/D转换芯片,所以它可有8个模拟量的输入端,由芯片的A,B,C三个引脚来选择模拟通道中的一个。A,B,C三端分别与8051的P1.0~P1.2相接。地址锁存信号(ALE)和启动转换信号(START),由P2.7和/WR或非得到。输出允许,由P2.7和/RD或非得到。时钟信号,可有8051的ALE输出得到,不过当采用6M晶振时,应该先进行二分频,以满足ADC0809的时钟信号必须小于640K的要求。&
源程序:1.由电路图可以知道:ADC0809的地址是70FFh
&&&&&&& 2.ADC0809的8个模拟通道所对应的口地址是78FFh~7FFFh
&&&&&&& 3.采样的开始,只要对模拟通道对应的地址写入一个数即启动转换。
&&&&&&& 4.由P1.0查询ADC0809的EOC信号,即可确定转换是否完成
&&&&&&& 5.8个通道的转换结果依次放入20h~27h存储单元中
&&&&& org 0000h
&&&&& mov r1,#20h
&&&&& mov r2,#8h& &&; channel
number!&&&&&&&
&&&&& mov tl0,#0h
&&&&& mov th0,#0b8h
&&&&& ;mov tmod,#1h
&&&&& clr et0
&&&&& setb tr0 &&&&&
&&&&& mov scon,#40h
&&&&& mov dptr,#78ffh
loop: &mov a,r2
&&&&& subb a,r1
&&&&& jnz loop2
&&&&& mov r1,#0h
&&&&& mov dptr,#78ffh&&&&&&&&&&&
&&&&&& mov r1,#0h
&&&&& mov dptr,#78ffh&&&&&&&&&&&
loop1: jnb tf0,loop1
&&&&& clr tf0
&&&&& mov tl0,#0h
&&&&& mov th0,#0b8h
&loop2: movx @dptr,a&&& ;start
loop3: jb p1.0,loop3
loop4: jnb p1.0,loop4&&&&&
;check flag
&&&&& movx a,@dptr&& ;read
&&&&& mov @r1,a&&&&& ;save
&&&&& inc dph&&&&&&&& ;next
&&&&& inc r1&&&&&&&&&&
&&&&& ljmp loop
单片机应用技术文摘选编:您所在位置: &
&nbsp&&nbsp&nbsp&&nbsp
基于ADC0809的8路数据采集器.doc 12页
本文档一共被下载:
次 ,您可全文免费在线阅读后下载本文档。
下载提示
1.本站不保证该用户上传的文档完整性,不预览、不比对内容而直接下载产生的反悔问题本站不予受理。
2.该文档所得收入(下载+内容+预览三)归上传者、原创者。
3.登录后可充值,立即自动返金币,充值渠道很便利
你可能关注的文档:
··········
··········
本次课程设计所采用的是单片机AT89S51和模数转换芯片ADC0809的温度采集系统。用电位器模拟输入电压,经过AT89S51控制ADC0809将输入模拟电压转换成数字信号,再按给定的公式将电压值转换成温度值,并通过显示模块4位显示数码管显示出来。本文主要描述了硬件设计部分和程序设计部分,硬件部分更是详细分析了本模拟采集器的各个部分的电路原理,和各个模块之间的线路连接。并列出了所用的所有元器件,以及实现数据采集功能的相应程序。该设计出了一个简单实用的数据采集器,具有成本低,可靠性高,扩张功能强的优点。
关键词:AT89C51,ADC0809,数据采集
一.概述…………………………………………………………………………………………………..…2
1.设计数据采集器的意义…………………………………………………………………2
2.担任的工作…………………………………………………………………………..…..3
3.数据采集器的主要功能…………………………………………………………………3
二.硬件电路设计及描述……………………………………………………………...3
1.方案选择及设计思想…………………………………………………………………….3
2.设计方案的框图………………………………………………………………………….4
3.工作原理………………………………………………………………………………….4
4.原理图及连接关系……………………………………………………………………….4
4.1.数据输入模块
4.2.模数转换模块
4.3.主控电路-单片机
4.4.显示模块
5.元件清单………………………………………………………………………………….6
三.软件设计流程………………………………………………………………………….7
1.系统模块层次图…………………………………………………………………………..8
2.程序流程图………………………………………………………...……………………...8
3.程序源代码………………………………………………………………………………..9
四.测试……………………………………………………………………………………….12
五.总结……………………………………………………………………………………….12
设计数据采集器的意义
数据采集器是一种具有现场实时数据采集、处理功能的自动化设备。具备实时采集、自动存储、即时显示、即时反馈、自动处理、自动传输功能。为现场数据的真实性、有效性、实时性、可用性提供了保证。
T(?C)与电压 U(V)对应关系:T=15.4V。通过AT89S51的计算输出结果,显示在4为共阳极数码管上。
硬件电路设计及描述
方案选择及设计思想
在查阅资料的时候,我们重点查阅了两个方案的资料。
方案一:在AT89S51的控制下,指定某通道将电压信号发生器产生的输入电压信号输入AD0809转换器,转换成数值信号后输入到AT89S51进行数据处理,然后输出并行信号,通过MAX232将并行信号转换成串行信号,在经过串口RS232输入到上位计算机。通过计算机显示出采集模拟稳定信号的数值。
方案二:在AT89S51的控制下,指定某通道将电位器产生的输入电压信号输入AD0809转换器,转换成数值信号后输入到AT89S51进行数据处理,然后输出并行信号。使用四位数码显示管来做独立的显示设备将输出信号显示出来。
两套方案的比较:在模拟信号部分,两套方案均产生0-5V的可调电压,方案一采用的是电压信号发生器,方案二采用电位器产生可变电压(0-5V),我个人和小组内成员觉得使用电位器较电压信号更加简单,具有很强的可操作性,可在后期工作中将电位器集成在电路板上,使模拟信号有良好的可移动性。在控制器和模数转换芯片上,两套方案均采用相同的设计思想。两套方案最大的区别在于显示电路上,方案一采用计算机作为上位机,将单片机输出的并行好通过通信芯片MAX232转换成串行信号后,显示在计算机上。方案二则采用独立的显示设备四位数码显示管。可以采用并行动态扫描的方式接入单片机直接读取并行信号。方案一中的需要设计单独的通信模块,使得电路较方案二更加复杂,而且需要在计算机上编写相应的程序,工作量之大。不符合我们简单实用的设计思想。
综上所述,我们选择了方案二。在本次课程设计中,根据设计要求,我们组的出发点是设计简单实用的数据采集器,将各个部分模块化,以此为设计思想,尽可能的简化电路设计,使其具有很强的可操作性和可移动性。方案二电路简单实用,成本低,完全符合我们的设计初衷。因此我们选择了方案二。
设计方案的框图
模拟信号有电位器产生0-5V的可调电压。上电以后,AT89S51输出启动信号给ADC0809
正在加载中,请稍后...基于ADC0809和51单片机的多路数据采集系统设计.p...
扫描二维码,下载文件到手机
相关文档推荐
当前文件信息
浏览:659次
下载:70次
您的VIP会员已过期,是否续费?
用户应遵守著作权法,尊重著作权人合法权益,不违法上传、存储并分享他人作品。举报邮箱:
京网文[0号 京ICP证100780号 上传我的文档
 下载
 收藏
粉丝量:48
该文档贡献者很忙,什么也没留下。
 下载此文档
用8051、ADC0809设计一个8路数据采集系统 doc
下载积分:200
内容提示:用8051、ADC0809设计一个8路数据采集系统 doc
文档格式:PDF|
浏览次数:168|
上传日期: 11:44:28|
文档星级:
全文阅读已结束,如果下载本文需要使用
 200 积分
下载此文档
该用户还上传了这些文档
用8051、ADC0809设计一个8路数据采集系统 doc
关注微信公众号

我要回帖

更多关于 18051 的文章

 

随机推荐