时序电路实验实验视频验

简单组合时序电路设计
  要求: 完成占空比(高电平占一个时钟周期的比例)为0.25的8分频电路模块的Verilog设计,并且设计一个仿真测试用的Verilog程序,从时序上验证分频电路模块的正确性。
  整数分频器的设计原理
  1.1 偶数倍分频
  偶数分频器的实现非常简单,通过计数器计数就完全可以实现。如进行N倍偶数分频,就可以通过由待分频的时钟触发计数器计数,当计数器从0计数到N/2-1时,输出时钟进行翻转,并给计数器一个复位信号,以使下一个时钟从零开始计数。以此循环,就可以实现任意的偶数分频。
  1.2 奇数倍分频
  奇数倍分频有两种实现方法,其中之一完全可以通过计数器来实现,如进行三分频,就可通过待分频时钟上升沿触发计数器来进行模三计数,当计数器计数到邻近值时进行两次翻转。比如可以在计数器计数到1时,输出时钟进行翻转,计数到2时再次进行翻转。这样,就在计数值邻近的1和2进行了两次翻转。如此便实现了三分频,其占空比为1/3或2/3。
  如果要实现占空比为50%的三分频时钟,则可通过待分频时钟下降沿触发计数,并以和上升沿同样的方法计数进行三分频,然后对下降沿产生的三分频时钟和上升沿产生的时钟进行相或运算。即可得到占空比为50%的三分频时钟。这是奇数分频的第三种方法。这种方法可以实现任意的奇数分频。如将其归类为一般的方法:对于实现占空比为50%的N倍奇数分频,首先要进行上升沿触发以进行模N计数,计数选定到某一个值再进行输出时钟翻转,然后过(N-1)/2再次进行翻转,就可得到一个占空比为50%的奇数n分频时钟。再同时进行下降沿触发的模N计数,当其到达与上升沿触发输出时钟翻转选定值相同时,再进行输出时钟翻转,同样,经过(N-1)/2时,输出时钟再次翻转以生成占空比为50%的奇数n分频时钟。将这两个占空比为50%的n分频时钟相或运算,就可以得到占空比为50%的奇数n分频时钟。
  3、 实验步骤和程序
  `mescale 1ns / 1ps
  module odd_division(clk,rst,count,clk_odd);
  input clk,
  output clk_
  output[3:0]
  reg clk_
  reg[3:0]
  parameter N = 8;
  always @ (posedge clk)
  if(! rst)
  count 《= 1&b0;
  clk_odd 《= 1&b0;
  if ( count 《 N/4-1)
  count 《= count + 1&b1;
  clk_odd 《= 1&b1;
  else if (count 《 N/2-1)
  count 《= count + 1&b1;
  clk_odd 《= 1&b0;
  count 《= 1&b0;
  endmodule
  test file:
  `mescale 1ns / 1ps
  module test2;
  // Inputs
  // Outputs
  wire [3:0]
  wire clk_
  odd_division uut (
  .clk(clk),
  .rst(rst),
  .count(count),
  .clk_odd(clk_odd)
  always #10 clk=~
  inial begin
  clk = 0;
  rst = 0;
  #10 rst=1;
  endmodule
  4、 测试数据记录和结果分析
  5、 实验结论和体会
  注意事项:
  1.请独立完成实验和报告,纸质报告每周交一次。
  2.每次实验时请在C盘根目录中创建一个以本人班级学号如BX命名的文件夹,在这文件夹下创建工程,最后压缩这个文件夹并上传后删除。
  3.实验成绩分为两部分:一为实验现场操作分(包括程序编写、得出结论等),二为报告分。
  4.实验步骤和程序分为三部分:一为基本步骤,二为程序流程图(也可以为算法的文字或公式说明)或状态图,三为程序源代码(可以不用全写,只写出关键部分的程序)及其说明。
  5.实验结论和体会:一为实验结论,二为讨论当前设计的不足及其改进设想。
  6.测试数据记录和结果分析:Verilog程序综合出来的RTL图(电路很复杂时不做要求);时序仿真结果(必备),可以抓图后打印出来贴在报告上,但必须对图里的结果有详细的文字说明。可参考以下案例格式写
  图1比较器模块RTL图
  图1为程序综合出来的四个比较器的RTL图,当计数值小于ROM数据时,比较器输出高电平;当计数值大于ROM数据时,比较器则输出低电平。比较器的输出为步进的四相输入端提供PWM波形,从而达到PWM控制。
  比较器的输入端:
  a:对应PWM _ROM模块的输出信号。
  b:对应十六进制计数器(PWM计数器)的输出信号。
  比较器的输出端:
  agb:输出a端信号与b端信号的比较值。
  图2比较器模块仿真波形图
  仿真结果说明:
  当a端信号大于b端信号时,比如a为15而b为0时,输出端agb输出高电平信号&1&;反之,输出端agb输出低电平信号&0&。
关注电子发烧友微信
有趣有料的资讯及技术干货
下载发烧友APP
打造属于您的人脉电子圈
关注发烧友课堂
锁定最新课程活动及技术直播
IC就是半导体元件产品的统称,IC按功能可分为:数字IC、模拟IC、微波IC及其他IC。数字IC就是...
商业模式不断转变(以制造为中心到无晶圆模式),产业链分工继续延伸(系统设计厂商、IP厂商),终端应用...
Scheme-it参考设计库(Design Starters)预存了近200个参考设计文件,包含了原...
本文开始详细的阐述了eda是什么技术以及eda的设计方法,其次阐述了eda的设计技巧,详细的分析了e...
本文主要介绍了eda简易密码锁的设计方案汇总(三款eda简易密码锁的设计原理图详解)。利用EDA工具...
作为一款以“一个易用的工具来连接工程师与供应链,降低学习门槛,为工程师节约时间”为宗旨的EDA工具。...
将基于VHDL的HDB3编码用在光纤通信系统中作为误码仪测试误码的HDB3转换器,能满足实际测试的需...
EDA技术是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进...
由于skill 语言提供编程接口甚至与C 语言的接口,所以可以以Cadence 为平台进行扩展用户,...
鳍式场效晶体管(简称 finFET)的推出标志着 CMOS 晶体管首次被看作是真正的三维器件。由于源...
本文主要对EDA技术的简单介绍及特点分析。EDA在20世纪60年代中期从计算机辅助设计(CAD)、计...
为及时、准确地检测隔离内部故障,支持新一代飞机视情维修和自主式保障的实现,提高飞机的保障性和经济可承...
西门子又有新动作,据报道西门子将收购Solido Design Automation强势进军EDA领...
目前DSP和EDA两者都应用广泛,二者之间有什么不同呢?本文带来数字信号处理器DSP与EDA的区别介...
IC前端设计(逻辑设计)和后端设计(物理设计)的区分:以设计是否与工艺有关来区分二者;从设计程度上来...
本文主要介绍了一种基于EDA技术的出租车计费系统设计与实现,采用EDA技术的层次化设计方法设计出租车...
本文分析了基于TLC549的数据采集系统设计,分析了TLC549芯片的主要参数和工作原理,利用了TL...
日,全球第一大芯片设计自动化EDA软件供应商及全球第一大芯片接口IP供应商、软件...
随着电子技术的发展,应用系统向小型化,快速化,大容量,重量轻的方向发展,电子设计自动化(Electr...
微波系统的设计越来越复杂,对电路的指标要求越来越高,电路的功能越来越多,电路的尺寸要求越做越小,而设...
人们习惯上将高于数百兆赫兹的频率称为射频(RF)或者微波(MW)。射频集成电路在近十年内得到广泛重视...
微波系统的设计越来越复杂对电路的指标要求越来越高,电路的功能越来越多电路的尺寸要求越做越小而设计周期...
Agilent ADS(Advanced Design System)软件是在HP EESOF系列E...
锁相环在通信、雷达、测量和自动化控制等领域应用极为广泛,已经成为各种电子设备中必不可少的基本部件。随...
Vivado设计套件终于震撼登场,赛灵思采用先进的 EDA技术和方法,提供了全新的工具套件,可显著提...
随着社会经济的高速发展,由车辆大幅增加而带来的交通问题日趋严重。因此,作为交通监管系统的重要组成部分...
微波系统的设计越来越复杂对电路的指标要求越来越高,电路的功能越来越多电路的尺寸要求越做越小而设计周期...
Synopsys中国战略投资基金将由Synopsys中国负责管理和运营。基于对全球集成电路产业发展和...
EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技...
EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技...
台湾积体电路制造公司(简称为台积电(TSMC))最近宣布了其第四个28nm工艺进入了量产 - 28H...
TDN-CM++实验装置是计算机组成原理及系统结构课程的专用实验箱,但存在硬件结构基本固定,这里采用...
采用传统方法设计的汉字滚动显示器,通常需要使用单片机、存储器和制约逻辑电路来进行PCB(印制电路板)...
要想设计出更可靠更完善的加密硬件,必须采用新的计算机接口。而近年来发展快速的USB接口技术为硬件加密...
当今电子系统的复杂性在不断增加,而电子产品的更新换代越来越快,传统的设计方法难以适应。随着计算机技术...
FPGA的基础就是数字电路和HDL语言,想学好FPGA的人,建议床头都有一本数字电路的书,不管是哪个...
随着微电子技术和计算机技术的不断发展,在涉及通信、国防、航天、工业自动化、仪器仪表等领域工作中,ED...
根据2016年底发布的《东莞集成电路产业发展白皮书》,东莞是全国最重要的电子信息制造业产地之一,云集...
FPGA 设计的时序性能是由物理器件、用户代码设计以及EDA 软件共同决定的,忽略了任何一方面的因素...
论坛中很多朋友是刚刚进入EDA设计领域的,自从进入这个论坛以来,很多朋友谈了自己的期望和困惑,下面我...
前几天看网上一个人说在仿经典基础程序按键消抖实验的时候0 error、0 warning,正好我也在...
2016 年EDA IC设计技术都有哪些最新热点,PCB设计布线策略、走线技巧有哪些,PCB Lay...
一直以来,大规模芯片的测试过程都是即费时又费钱的活,TetraMAX II如何能缩短十倍的时间!
就产业发展的现状而言,国产EDA工具和Synopsys、Cadence、Mentor的产品差距过于悬...
EDA技术是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进...
存在风险的是明导未来对芯片设计业者来说,能否持续做为一家独立EDA供应商;而看来EDA工具业者之间的...
说到CPU、SoC想必很多人不会陌生,但如果提到EDA工具,可能很多人就从未听说过了。其实,EDA工...
 电子设计技术的核心就是EDA(Electronic Design Automation)技术。
说到CPU、SoC想必很多人不会陌生,但如果提到EDA工具,可能很多人就从未听说过了。其实,EDA工...
由于调试需要,刚画了一个0~25mA信号发生器。与以往画板不同的是,这次我是在云端画的。我使用的画图...
作为一个电子设计工程师,得心应手的设计工具是必不可少的。单就电路设计工具来说,我们也有很多选择,是老...
写在文章的最前面,谨以此文,记录自己对新鲜事物的学习过程,记录过程中遇到的坑,以便后续的自己或者与自...
EDA工具层出不穷,目前进入我国并具有广泛影响的EDA软件有:EWB、PSPICE、OrCAD、PC...
日前,西门子以45亿美元收购Mentor,Mentor是一家从事EDA工具开发的高科技公司,虽实力逊...
近日,西门子宣布以45亿美元现金方式的收购全球第三大EDA供应商Mentor Graphics。收购...
今日早报:西门子45亿美元收购明导国际;传骁龙830将支持Quick Charge 4.0快充;未来...
随着科学研究与技术开发市场化,采用传统电子设计手段在较短时间内完成复杂电子系统设计,已经越来越难完成...
继2015年规模前所未见的IC产业「整并疯」之后,半导体业界在今年又有数件大规模并购案发生,市场上几...
本文总结了PCB布线与布局和电路设计总共268条设计规范,下面与大家一起分享。
Mentor Graphics 公司(纳斯达克代码:MENT)为 Verification Acad...
随着科学研究与技术开发市场化,采用传统电子设计手段在较短时间内完成复杂电子系统设计,已经越来越难完成...
新思科技(Synopsys, Inc.,纳斯达克股票代码:SNPS)日前宣布:其电路仿真器将引入面向...
俄勒冈州威尔逊维尔,2016 年 2 月 23 日 — Mentor Graphics公司(纳斯达克...
新思科技(Synopsys, Inc.,纳斯达克股票市场代码:SNPS)日前宣布:其带有多核优化(M...
 3.0版统一功率格式(Unified Power Format,UPF)──官方名称为IEEE S...
两岸的IC设计公司在先进制程节点晶片设计和其复杂度的进展令全球半导体界瞩目。于此同时,对领先EDA工...
半导体市场近期购并事件频传,对此,明导国际(Mentor Graphics)执行长Walden C....
IC设计不同于一般的板级电子设计,由于流片的投资更大,复杂度更高,系统性更强,所以学习起来也有些更有...
数字化是电子设计发展的必然趋势,EDA 技术综合了计算机技术、集成电路等在不断向前发展,给电子设计领...
设计尺寸的增长趋势势不可挡,这也一直是EDA验证工具的一个沉重负担。动态功率估算工具即是其一。
EDA技术是以大规模可编程逻辑器件为设计载体,以硬件语言为系统逻辑描述的主要方式,以计算机、大规模可...
要想改善国内芯片产业严重依赖进口的现状,首先要了解全球芯片产业的动态,为此,电子发烧友为您总结出20...
今年的调查结果与往年的情况大致类似,在所有的回覆者中有75%来自完全由中国投资的公司,所有的回覆者中...
SoC已经一跃成为芯片设计业界的主流趋势,而产品价值与竞争力则完全取决于复杂度、设计的可再用性,以及...
当前航空电源型号各异,种类庞杂,应该说综合性能还不够高。特别是随着航空器的不断发展,其对电源保障需求...
网络安全(Cybersecurity)成为物联网(IoT)芯片开发重要考量。物联网应用热潮不断扩大,...
无论是靠设计赚钱的专业设计师、电子教育专业人士,还是学生或业余爱好者,如果您正在寻找一款易于使用、专...
EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技...
每个做DIY项目的电子工程师都知道几十个免费电阻计算器,可以节省很多繁琐的工作。现在出现越来越多的免...
每个做DIY项目的电子工程师都知道几十个免费电阻计算器,可以节省很多繁琐的工作。现在出现越来越多的免...
每个做DIY项目的电子工程师都知道几十个免费电阻计算器,可以节省很多繁琐的工作。现在出现越来越多的免...
每个做DIY项目的电子工程师都知道几十个免费电阻计算器,可以节省很多繁琐的工作。现在出现越来越多的免...
每个做DIY项目的电子工程师都知道几十个免费电阻计算器,可以节省很多繁琐的工作。现在出现越来越多的免...
每个做DIY项目的电子工程师都知道几十个免费电阻计算器,可以节省很多繁琐的工作。现在出现越来越多的免...
笔者再次来到美国加州硅谷,开始为期一周的半导体企业总部技术探秘之旅。5天内共采访了Maxim(美信)...
EDA产业最近连二连三地针对PCB布局技术发布重大进展。其中令人印象深刻的两项新产品发布是:益华电脑...
本文介绍了EDA技术主要特点和功能,并对将EDA技术引入到数字电路设计工作方案进行了探讨。##EDA...
传统的模拟工具已难以应付日趋复杂的芯片设计,这导致市场上对于能加速验证及除错流程的硬件仿真器需求持续...
随着芯片复杂度的提高,验证测试变得越来越重要,对芯片最显著的改进不仅在设计流程中产生,也在芯片调试和...
近半年来,由于晶圆代工制程的竞争愈演愈烈,也使得上游的EDA(电子设计自动化)与IP(硅智财)业者,...
供应链服务
版权所有 (C) 深圳华强聚丰电子科技有限公司
电信与信息服务业务经营许可证:粤B2-> 时序逻辑电路的设计实验
时序逻辑电路的设计实验
已有 453361个资源
TI最新应用解决方案
&&智能端到端
上传者其他资源
电子电路热门资源
本周本月全部
资源大小:99.5KB
上 传 者: ()
上传日期:
资源类型:应用文档
资源积分:1分
下载次数:0
参与讨论:
标&&&&签:
分&&&&享:
下载资源需要,并消耗一定的积分
1&&&&进一步强化EDA仿真软件的使用;2&&&&掌握利用MSI、可编程器件设计时序逻辑电路的特点、方法;3&&&&掌握时序逻辑电路的调试方法;4&&&&进一步提高排除数字电路故障的能力。二、实验内容&(1)利用MSI设计n(n=&{&&&&&&&&&&&&&&&&&&&&&&&&&&&&&}&)&进&&&&&&&制计数器;(2)设计彩灯循环电路,共有8只彩灯,使其7亮1暗,且这一暗灯循环右移。要求利用可编程器件设计;实验参考用器件:74LS160,&74LS161,&74LS00,&74LS20(1)设计四路抢答器,当主持人宣布开始时,一旦有一个参赛者最先按下按钮,则此参赛者对应的指示灯亮,而其余三个参赛者的按钮将不起作用,信号也不再被输出,直到主持人宣布下一轮抢答开始为止,方法不限。(2)设计一个能产生11000序列的移位型序列信号发生器,方法不限。(3)设计一个八位顺序脉冲发生器,方法不限。三、参考设计电路 &&1.&计数器(级连法)2.计数器(反馈归零法)3.彩灯循环电路四、实验步骤&1&计数器实验&&&(1)用仿真软件Multisim&2001或MaxplusⅡ仿真;&&&(2)用MSI器件连接并调试;&&&(3)记录实验结果。2&彩灯循环电路&&&(1)用仿真软件Max&plus&Ⅱ仿真;&&&(2)用ISP可编程器件实现彩灯电路;&&&(3)记录实验结果。3&&其他选作设计电路步骤自拟
Q.为什么我点的下载下不了,但积分却被扣了
A.由于下载人数众多,下载服务器做了并发的限制。若发现下载不了,请稍后再试,多次下载是不会重复扣分的。
Q.我已经登录过账号,为什么还一直提示要求登录
A.出现这种情况是浏览器缓存问题,建议清理浏览器缓存后重启浏览器重新登录
下载资源意味着您已经同意遵守以下协议
1. 资源的所有权益归上传用户所有
2. 未经权益所有人同意,不得将资源中的内容挪作商业或盈利用途
3. EEWORLD下载频道仅提供交流平台,并不能对任何下载资源负责
4. 下载资源中如有侵权或不适当内容,请
5. 本站不保证本站提供的资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
大学堂最新课程
你下载资源过于频繁,请输入验证码
验证码:&&
若举报审核通过,可奖励2下载分
被举报人:
nonogugu66
举报的资源分:
请选择类型
资源无法下载
资源分类不正确
资源无法使用
标题与实际内容不符
含有危害国家安全内容
含有反动色情等内容
含广告内容
版权问题,侵犯个人或公司的版权
* 详细原因:
EEWORLD下载中心所有资源均来自网友分享,如有侵权,请发送举报邮件到客服邮箱bbs_.cn 或通过站内短信息或QQ:联系管理员 高进,我们会尽快处理。6简单时序电路实验_百度文库
您的浏览器Javascript被禁用,需开启后体验完整功能,
赠送免券下载特权
10W篇文档免费专享
部分付费文档8折起
每天抽奖多种福利
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
6简单时序电路实验
&&数字电路逻辑设计里的时序电路实验
阅读已结束,下载本文需要
想免费下载本文?
定制HR最喜欢的简历
你可能喜欢

我要回帖

更多关于 时序逻辑电路实验报告 的文章

 

随机推荐