modelsim安装教程 Altera 10.4d安装问题

1688.com,阿里巴巴打造的全球最大的采购批发平台
1688/淘宝会员(仅限会员名)请在此登录
cbulogin.et2Server is OKmodelsim10.4的点击编译错误提示怎么跳出代码_百度知道
modelsim10.4的点击编译错误提示怎么跳出代码
我有更好的答案
软件闪退的因素很多,部分软件编写不规范也会导致,不排除硬件出现问题或驱动不正确的可能。   1、右键“计算机”选择“属性”。  2、点击高级系统设置。  3、点击高级那个导航栏,然后点击性能那个属性设置框里,设置。  4、先点击数据执行保护,然后为除下列选定程序,再点添加你闪退不能打开软件,最后点击确定,重新打开软件,就行了。P
采纳率:73%
来自团队:
为您推荐:
其他类似问题
换一换
回答问题,赢新手礼包
个人、企业类
违法有害信息,请在下方选择后提交
色情、暴力
我们会通过消息、邮箱等方式尽快将举报结果通知您。您还可以使用以下方式登录
当前位置:&>&&>& > ModelSim_SE操作指南
ModelSim_SE操作指南
导读:就爱阅读网友为您分享以下“ModelSim_SE操作指南”资讯,希望对您有所帮助,感谢您对92to.com的支持!第一章介绍本指南是为ModelSim5.5f版本编写的,该版本运行于UNIX和MicrosoftWindows95/98/Me/NT/2000的操作系统环境中。本指南覆盖了VHDL和Verilog模拟仿真,但是你在学习过程中会发现对于单纯的HDL设计工作而言,它是一个很有用的参考。ModelSim具备强大的模拟仿真功能,在设计、编译、仿真、测试、调试开发过程中,有一整套工具供你使用,而且操作起来极其灵活,可以通过菜单、快捷键和命令行的方式进行工作。ModelSim的窗口管理界面让用户使用起来很方面,它能很好的与操作系统环境协调工作。ModelSim的一个很显著的特点就是它具备命令行的操作方式,类似于一个shell有很多操作指令供你使用,给人的感觉就像是工作在Unix环境下,这种命令行操作方式是基于Tcl/Tk的,其功能相当强大,这需要在以后的实际应用中慢慢体会。ModelSim的功能侧重于编译、仿真,不能指定编译的器件,不具有编程下载能力。不象Synplify和MAX+PLUSII可以在编译前选择器件。而且ModelSim在时序仿真时无法编辑输入波形,不象MAX+PLUSII可以自行设置输入波形,仿真后自动产生输出波形,而是需要在源文件中就确定输入,如编写测试台程序来完成初始化、模块输入的工作,或者通过外部宏文件提供激励。这样才可以看到仿真模块的时序波形图。另外对于Synplify来说,也只具有编译能力,但是比MAX+PLUSII可编译的verilog的内容要多,所以常常可以现在Synplify下编译,生成编译文件再送到MAX+PLUSII中使用。ModelSim还具有分析代码的能力,可以看出不同的代码段消耗资源的情况,从而可以对代码进行改善,以提高其效率。第二章ModelSim的主要结构ModelSim的主窗口(Mainwindow)包括菜单栏、工具栏、工作区和命令行操作区。在工作区可以显示ProjectTab、LibraryTab、SimTab(显示LoadDesign、HierarchicalStructure);在命令行操作区,可以用命令提示符的方式进行编译、仿真设计,同时打开其他窗口。在菜单栏View下可以打开,sourcewindow、listwindow、wavewindow、structurewindow、signalwindow、dataflowwindow、processwindow、viarableswindow等窗口,用来测试仿真、调试仿真。由此也可以看出该软件具有强大的仿真设计能力,它提供的工具很多。在帮助菜单里有SE的帮助文件和Tcl的帮助文件,是学用ModelSim很好的帮手。第三章ModelSim的简要使用方法在这一章里通过一些课程来简单介绍ModelSim的使用方法,更多的需要在实际应用中熟练和掌握。第一课CreateaProject1.第一次打开ModelSim会出现WelcometoModelSim对话框,选取CreateaProject,或者选取File\New\Project,然后会打开CreateProject对话框。2.在CreateProject对话框中,填写test作为ProjectName;选取路径ProjectLocation作为Project文件的存储目录;保留DefaultLibraryName设置为work。3.选取OK,会看到工作区出现ProjectandLibraryTab。4.下一步是添加包含设计单元的文件,在工作区的Projectpage中,点击鼠标右键,选取AddFiletoProject。5.在这次练习中我们加两个文件,点击AddFiletoProject对话框中的Browse按钮,打开ModelSim安装路径中的example目录,选取counter.v和tcounter.v,再选取Referencefromcurrentlocation,然后点击OK。6.在工作区的Projectpage中,单击右键,选取CompileAll。7.两个文件编译了,鼠标点击LibraryTab栏,将会看到两个编译了的设计单元列了出来。看不到就要把Library的工作域设为work。8.最后一不是导入一个设计单元,双击LibraryTab中的counter,将会出现SimTab,其中显示了counter设计单元的结构。也可以Design\Loaddesign来导入设计。到这一步通常就开始运行仿真和分析,以及调试设计,不过这些工作在以后的课程中来完成。结束仿真选取Design\EndSimulation,结束Project选取File\Close\Project。第二课BasicVHDLSimulation准备仿真1.为这次练习新建一个目录,然后拷贝example目录中所有的vhd文件到该目录下。设置该目录为当前工作目录,这一步通过从该目录调用ModelSim或是选取File\ChangeDirectory命令来完成。2.在编译任何HDL代码前,要建立一个设计库来存放编译结果。选取Design\CreateaNewLibrary生成一个新的设计库。确定选取Create:anewlibraryandalogicalmappingtoit,在LibraryName域中键入work,然后选取OK。这就在当前目录中建立了一个子目录,即你的设计库。ModelSim在这个目录中保存了名为_info的特殊文件。(Prompt:vlibworkvmapworkwork)3.选取工具栏里的Compile命令来编译counter.vhd文件到新库中。这将打开CompileHDLSourceFiles对话框。使用vcom命令是看不到的。从列表中选取counter.vhd再点击Compile,完成后选取Done。可以编译多个文件,按照设计的需要依次选取进行编译。(Prompt:vcomcounter.vhd)4.选取工具栏里的Loaddesign按钮,导入设计单元。Loaddesign对话框可以让你选择库和顶级(top-level)设计单元来仿真,你也可以为仿真选取SimulationResolution限制。这次仿真运行,下述是缺省的显示:•SimulatorResolution:default(thedefaultis1ns)•Library:work•DesignUnit:counter如果设计单元是一个实体,你可以点击前面的加号,来浏览其关联的结构。(Prompt:vsimcounter)5.选取counter,然后选择Load接受设置。6.下面,选取View\All打开所有的窗口,关于窗口的描述,参阅ModelSimUser’sManual。(Prompt:view*)7.在Signalswindow选取View\List\SignalsinRegion,这个命令显示Listwindow中的顶级(top-level)信号。(Prompt:addlist/counter/*)8.下步,通过从Signalswindow选取View\Wave\SignalsinRegion添加顶级(top-level)信号到Wavewindow。(Prompt:addwave/counter/*)运行仿真通过应用始终输入激励来开始仿真。1.点击主窗口,在vsim提示符下敲如下面的命令:(forceclk150,0100–repeat100)(MENU:Signals\Edit\Clock)ModelSim解释force命令如下:forceclktothevalue1at50nsafterthecurrenttimethento0at100nsafterthecurrenttimerepeatthiscycleevery100ns2.现在你可以练习来自于主窗口或波形窗口工具条按钮的两个不同的Run功能。(Run功能在主窗口和波形窗口中定义,即这两个窗口中有Run功能)。首先选取Run按钮,运行完成之后选取RunAll。Run.运行仿真,在100ns后停止。(PROMPT:run100)(MENU:Run\Run100ns)Run-All.一直运行仿真,直到选取Break。(PROMPT:run-all)(MENU:Run\Run-All)3.选取主窗口或波形窗口的Break按钮来中断仿真,一旦仿真到达一个可接受的停止点,它就停止运行。在源文件窗口中的箭头指向下一条将被执行的语句。(如果暂停发生时,仿真没在评测一个过程,则没有箭头显示在源文件窗口上)。下面,你将在18行的函数内部设置一个断点。4.移动鼠标到源文件窗口,在18行上点击设置断点,可以看到紧挨着行号有一个红点,可以用鼠标点击切换断点的使能与否,断点禁止后看到是一个小的红色的园环。可以在断点上点击鼠标右键,选取RemoveBreakPoint18来取消断点。(PROMPT:bpcounter.vhd18)5.选取ContinueRun按钮恢复中断了的运行,ModelSim会碰上断点,通过源文件中的一个箭头或是在主窗口中的一条中断信息来显示出来。(PROMPT:run-continue)(MENU:Run\Continue)6.点击Step按钮可以单步执行仿真,注意Variableswindow中值的变化。如果你愿意可以持续点击Step。(PROMPT:run-step)(MENU:Step)7.当你完成了,敲入以下命令结束仿真。quit-force8.命令没有寻求确认就结束了ModelSim。第三课BasicverilogSimulation1.新建一个目录,并设置该目录为当前工作目录,通过从该目录调用ModelSim或是选取File\ChangeDirectory命令来完成。2.拷贝example目录中verilog文件到当前目录下。在你编译verilog文件前,你需要在新目录下生成一个设计库。如果你仅仅熟悉解释性verilog仿真器,诸如CadenceVerilog-XL,那么对于你来说这是一个新的方法。因为ModelSim是一个编译性Verilog仿真器,对于编译它需要一个目标设计库。如果需要的话,ModelSim能够编译VHDL和Verilog代码到同一个库中。3.在编译任何HDL代码前,要建立一个设计库来存放编译结果。选取Design\CreateaNewLibrary生成一个新的设计库。确定选取Create:anewlibraryandalogicalmappingtoit,在LibraryName域中键入work,然后选取OK。这就在当前目录中建立了一个子目录,即你的设计库。ModelSim在这个目录中保存了名为_info的特殊文件。(Prompt:vlibworkvmapworkwork)4.下面你将编译Verilog设计。这个设计例子由两个Verilog源文件组成,每一个都包含一个唯一的模块。文件counter.v包含一个名为counter的模块,它执行一个简单的八位加法计数器。另一个文件tcounter.v是一个测试台模块(test_counter),通常用来校验counter。在仿真下,你可以看到这两个文件,通过一个被测试台例示了的模块counter的一个简单的实例(名为dut的实例),来层次化的设置了。稍候你将有机会看一下这个代码的结构,现在,你需要编译两个文件到work设计库。
欢迎转载:
相关推荐:1688.com,阿里巴巴打造的全球最大的采购批发平台
1688/淘宝会员(仅限会员名)请在此登录
cbulogin.center.et2Server is OK求Altera ModelSim 10.4d 不要 modelsim-win64-10.4-se_百度知道
求Altera ModelSim 10.4d 不要 modelsim-win64-10.4-se
我有更好的答案
modelsim-win64-10.1c-se
这是什么?
看清楚再回答
为您推荐:
其他类似问题
换一换
回答问题,赢新手礼包
个人、企业类
违法有害信息,请在下方选择后提交
色情、暴力
我们会通过消息、邮箱等方式尽快将举报结果通知您。

我要回帖

更多关于 modelsim10.1安装教程 的文章

 

随机推荐