苹果手机暂时禁止下载app暂时不能下载: 如果以前下载过点苹果手机暂时禁止下载appapp商城里面的已购项目 选择不在此iPhone上 找到3

近几年,车联网一直占据热搜榜居高不下,随着BAT大佬们的……
电力改变了世界,也造福了我们的生产生活。电力安全大于……
近日尼吉康在北京召开了关于锂离子二次电池的新品发布会……
1968年大众在汽车上使用了一个ECU,用于发动机的电子燃油……
以&极致突破创新&为主题,在7月26日的吹田……
演讲人:Jim时间: 10:00:00
演讲人:李思齐时间: 10:00:00
演讲人:张厚新时间: 10:00:00
预算:¥10000预算:¥10000
上海市上海市
mega162驱动TLC1543源代码
mega162具有以下特性:1.双USART;2.低能耗,工作电压低;3.多个I/O可以当作外部中断,相比之下M16只有3个外部中断可用。当初选型mega162的时候是看中了它的双USART的功能;但是它却没集成A/D,当想要
mega162具有以下特性:1.双USART;2.低能耗,工作电压低;3.多个I/O可以当作外部中断,相比之下M16只有3个外部中断可用。当初选型mega162的时候是看中了它的双USART的功能;但是它却没集成A/D,当想要扩展A/D功能的时候,只有外部挂接A/D芯片了;这本不是AV先生的初衷,因为许多AVR单片机集成了A/D、EEPROM。本文引用地址: 外部A/D芯片选用TI公司的TLC1543,这是一个11通道、10位的A/D,速度38ksps,应用非常广泛,下面是根据51BBS龙版主的C源码转嫁过来的。设计主要注意以下几个方面:1。AVR具有1指令/时钟周期的特性,同时又可以预取指令,提高了指令执行速度(1Mips/MHz);传统51是每1指令/6个时钟周期。使在同样外部晶振的条件下,ADD和DEC的执行时间,AVR比51快了6倍(这个可能不太严格,由于内核构架的不同),所以在用nop或者i++/i--做芯片时序延时的时候,要考虑时间是否够。2。AVR的端口是可设置方向的,相对于TLC为进的端口,AVR要设置为出,反之亦然。3。AVR的端口逻辑有专门的寄存器,比如PINA是PA口的寄存器,这个寄存器只读,当判断AVR的端口电平高低的时候,只能访问它。下面是我的代码:由于网页原因,格式总靠左。本来我是参照“华为编程规范”做的。/*----------------------------------------------------------------*FileName:UPSSpyMain_AD.c-*Description:从TLC1543读取采样值,形参port是采样的通道号-*Project:-*MCUtype:Megamhz--*Company:WY-*Compiler:ICCAVR6.30-*MODIFY:郭准06.3.19------------------------------------------------------------------*/#include#include#include#include#include#include#include#include//-----------------#defineucharunsignedchar#defineuintunsignedint#defineulintunsignedlongint#definenop()NOP();NOP();NOP();NOP();NOP();NOP();NOP();NOP();//-----------------TLC1543端口定义#defineCLOCK0//PORTC的0位#defineD_IN1//PORTC的1位#defineD_OUT2//PORTC的2位#define_CS3//PORTC的3位//-----------------端口电平变化的定义#defineCLOCK_0PORTC&=~(1<<CLOCK)#defineCLOCK_1PORTC|=(1<<CLOCK)#defineD_IN_0PORTC&=~(1<<D_IN)#defineD_IN_1PORTC|=(1<<D_IN)#defineD_OUT_0PORTC&=~(1<<D_OUT)#defineD_OUT_1PORTC|=(1<<D_OUT)#define_CS_0PORTC&=~(1<<_CS)#define_CS_1PORTC|=(1<<_CS)//------------------------------------------------------//功能:从TLC1543读取采样值//入口:channel=通道号.0:0通道;1:1通道。。。。。。。//出口://设计:郭准,伟业,//------------------------------------------------------uintread1543(ucharchannel){ucharal=0;ucharah=0;//----------------端口方向PORTC=0xFF;//PC0,PC1,PC3推挽1输出;PC2I/O带上拉电阻输入DDRC=0xFB;//CLOCK_0;//时钟源初始为低_CS_0;//片选为低,选中芯片//---------------等待一个设置时间+两个内部系统时钟的下降沿for(i=0;i<21;i++){;}//---------------把通道号打入1543channel<<=4;//左移4位的作用是为了,下面高位比较方便al=0;ah=0;for(i=0;i<4;i++){if((channel&0x80)){D_IN_1;nop();}else{D_IN_0;nop();}CLOCK_1;//时钟的下降沿锁存数据,地址移入nop();CLOCK_0;channel<<=1;}//---------------//填充6个CLOCKfor(i=0;i<6;i++)//采样一直持续6个I/OCLOCK周期{CLOCK_1;nop();CLOCK_0;nop();}nop();//---------------//等待AD转换_CS_1;for(i=0;i<12;i++)//多个单周期延时nop,微秒级延时{nop();}_CS_0;nop();//---------------//取D9,D8for(i=0;i<2;i++){//D_OUT_1;ah<<=1;CLOCK_1;if(PINC&(1<<D_OUT)){ah|=0x01;}CLOCK_0;}//---------------//取D7--D0for(i=0;i<8;i++){al<<=1;CLOCK_1;if(PINC&(1<<D_OUT))//if(PINC&(1<<D_OUT)){al|=0x01;}CLOCK_0;}_CS_1;//关闭1543//---------------//得到AD值ad=(uint)ad<<=8;ad|=return(ad);}
北京时间8月14日消息,据国外媒体报道,事实证明,人类的懒惰行为远早于现代人类躺在沙发和叫外卖的懒散生活方式,&为什么要这么麻烦?&的生活态度,不仅存在于几十万年,而且还可能导致一支远古人类祖先......关键字:
偏激一点说,任何产业都应该自主创新,这是取得竞争优势的最大筹码。但现实就是罗生门,红芯浏览器&造假&事件又一次刺痛了自主创新的命门,关于这个话题的一系列要素被拉出来重新审视。抛开事件本身,从......关键字:
深陷&造假&风波的国产浏览器品牌红芯,再度发声。8月16日晚,红芯时代(北京)科技有限公司发布声明称:红芯浏览器内核是基于通用的浏览器内核架构(即Chromium开源项目,但不是Chrome浏览器)的基础上进行......关键字:
拼多多最近很火,上面也有不少价格超低的投影机产品。其实这种产品在淘宝早就司空见惯了。一般来说家用投影机,亮度高一些的基本都在3000元以上,而淘宝上竟然有几百元的产品。如果你去翻看评论,似乎效果还不错。那......关键字:
8月14日消息,据外媒报道,马斯克在Twitter发文,称正与银湖资本、高盛合作,推进特斯拉私有化。据外媒报道,马斯克在社交网络推特上发帖称,他准备对特斯拉公司进行私有化收购,每股收购价格为420美元,另外自己已......关键字:
近日,世界科技创新论坛在北京会议中心举办,包括Kip Thorne、Thomas J.Sargent、Michael
Levitt、朱棣文在内的20余位诺贝尔奖获得者,以及中科院院士曹春晓、美国国家工程院院士陈刚等诸多中外顶级学者专家应邀......关键字:
近日消息,据路透社报道,华为即将在美国推出一款太阳能面板控制设备。分析师此前认为,这款产品将会在定价方面给竞争对手构成挑战。不过,美国关税可能会不利于这款产品的竞争力。
华为开发了新一代的低成本太阳能......关键字:
据科技博客TechCrunch北京时间8月17日报道,英特尔公司想要把更多人工智能(AI)技术整合到各项业务中,该公司在周四宣布收购深度学习创业公司Vertex.AI。Vertex.AI的使命是让每个平台的深度学习技术开发成为可能,并......关键字:
&智能&联网设备可以让日常生活变得更加轻松,但它们通常需要搭配电池来使用。好消息是,加州大学圣迭戈分校的研究团队,刚刚展示了一款基于 WiFi、名叫
LiveTag 的解决方案。其采用低成本的标签打印方......关键字:
中国铁塔成立之初,就被贴上了&电信业改革试验田&的标签。上市后,如何实现业务创新和多元化发展,对公司来说尤为重要.8月8日,中国铁塔股份有限公司(股份代号:0788.HK)正式登陆香港资本市场,在港交所......关键字:
我 要 评 论
热门关键词
Cypress面议 | 上海市 浦东新区
Cypress面议 | 上海市 浦东新区
Cypress面议 | 上海市 浦东新区
Cypress面议 | 上海市 浦东新区
Cypress面议 | 上海市 浦东新区苹果电动汽车推进中:来看看他们的无人驾驶新专利苹果电动汽车推进中:来看看他们的无人驾驶新专利中国日报网百家号之前苹果前员工离职后将机密文件带到小鹏汽车的事情,在业界传的是沸沸扬扬,不管最后的结果是怎么样,但至少苹果要进军汽车领域的行为被彻底曝光。作为iPhone之后的又一个风口,苹果把希望都放在了电动汽车行业,不过他们是分两步来走的,先主攻无人驾驶技术,最后再开始造车事宜,这样看起来也更加稳妥。现在,苹果又获得一项与无人驾驶相关的专利,可以向乘客提示行车方向。根据专利介绍,苹果这套系统可以让无人驾驶汽车告知乘客,它会按怎样的路线行驶,这样一来当汽车突然改变路线时,乘客就不会感到惊讶。例如,当汽车快速离开公路时,乘客会在显示屏上提前看到信息。事实上,谷歌地图、苹果地图也有类似的功能。比如想去某个地方,你会提前看清路线,知道接下来怎么走。就像GPS定向技术一样,苹果系统也会告诉你什么时候采取下一步行动。作为苹果最重磅新品,其电动汽车的内部代号是Titan,至于何时推向市场,没人知道但是确实是在进行了。本文由百家号作者上传并发布,百家号仅提供信息发布平台。文章仅代表作者个人观点,不代表百度立场。未经作者许可,不得转载。中国日报网百家号最近更新:简介:全天候传播权威国际、国内资讯作者最新文章相关文章近几年,车联网一直占据热搜榜居高不下,随着BAT大佬们的……
电力改变了世界,也造福了我们的生产生活。电力安全大于……
近日尼吉康在北京召开了关于锂离子二次电池的新品发布会……
1968年大众在汽车上使用了一个ECU,用于发动机的电子燃油……
以&极致突破创新&为主题,在7月26日的吹田……
演讲人:Jim时间: 10:00:00
演讲人:李思齐时间: 10:00:00
演讲人:张厚新时间: 10:00:00
预算:¥10000预算:¥10000
上海市上海市
为什么要测试真空度
[导读]为什么要测试真空度
真空灭弧室的寿命由三部分组成:电寿命、机械寿命和真空寿命。其中以真空寿命最为重要,真空寿命要随时监测,所以要用真空测试仪。
①电寿命:额定电压,额定电流,额定短路开断电流。
为什么要测试真空度真空灭弧室的寿命由三部分组成:电寿命、机械寿命和真空寿命。其中以真空寿命最为重要,真空寿命要随时监测,所以要用真空测试仪。①电寿命:额定电压,额定电流,额定短路开断电流。②机械寿命:生产时自设的,这主要由波纹管的寿命来决定,一般开关出厂时自设的机械寿命是动作10000次&&20000次。③真空寿命:当灭弧室出厂后在整个运行过程中是一个变化的量,因而,要随时监控真空度的变化,从而掌握真空灭弧室的运行状况。●为什么真空度是不断变化的,且制造好后是人为不能改变的?因为在地球上,真空只是一个相对的概念,绝对的真空是做不到的,主要体现在时间上的相对性和空间上的相对性。●为什么会有时间上的相对性?真空灭弧室的漏气是绝对的,不漏只是一个相对的概念。真空灭弧室产生漏气的原因有三种:A.慢漏;即标准漏孔的漏气(分接点)。B.渗漏;即玻璃分子与空气分子之间产生作用。C.材料放气;即材料中吸收的空气在真空状态下又会释放出来。●相对性压强和真空度是一个物理量的两个表达方式:真空度每提高一个数量级,压强(气体分子密度)就减少一个数量级。●平均自由程相邻气体分子相邻两次碰撞的路程叫自由程,求平均值即是平均自由程。随着真空度的增大,平均自由程随之增大路程。2、一支灭弧室在测量时为什么要静置一段时间后才可以开始第二次测量,原因是什么?真空测量依第一次测量为准,连续测量时真空度要升高,因为每测一次,灭弧室内部气体就会电离掉一部分,被两个触头吸收,重新释放出来后需要一定的时间。3、我们的产品在一次测量时对被测对象有什么特殊要求吗?对所测灭弧室的数量有限制吗?首先,被测对象应该是真空灭弧室。其次,它的管型应是管型曲线中的一种,或等效管型(例如,同样的灭弧室,在宝光和旭光的型号就不同)。我们现在已有绝大多数宝光产品的曲线,而宝光又是全国最大的真空灭弧室生产厂家,产品数量最全。因此,其他厂家的产品可向厂家询问相应于宝光的对应关系。如果不知道管型,可依据断路器的额定参数,参考说明书的附录二进行测量。在测试数量上,没有任何限制,在产品的耐用强度上和散热上做了很多的试验,可放心使用。我们的产品现在宝光做灭弧室出厂检验时经常一天要连续测量500只以上的灭弧室,因此没有任何问题。4、在我们真空度测试仪空载时(未测试时),仪器显示应该如何解释?仪器输入端悬空时仍能测出真空度数值,是因为悬空线犹如天线,会产生感应电势进而产生感应电流,但此时数值一般很小。在1&10e-4pa以上,用潘宁放电原理测量真空度时已误差很大,因而,1&10e-4pa以上,我们只要求分辩,1&10e-4pa已是最好的灭弧室,在这里电离因素和其他因素造成的放电都会产生离子流,因而,用1&10e-4pa显示更切合实际。本文引用地址:
苹果每一代iPhone/iPad问市,总能引发果粉们争相抢购。不过对于我们多数用户来说,把每一代新品都纳入囊中似乎还不太可能。那么,问题来了。一部iPhone或iPad的使用寿命为多久呢?对于普通用户来说,通常隔代一换设备就已经算勤快,而对......关键字:
本杰明?富兰克林曾说过:“在这个世界上没有任何事是绝对的,除了死亡和税收。”
......关键字:
目前,英国一位科学家指出,未来人类寿命可延长至150岁,这与医学技术的进步和人们对健康生活方式认识的不断提高有关。......关键字:
最近,辣椒在植物界大受欢迎,身价倍涨啊。起因就是《英国医学期刊》(BMJ)上刊登的论文。在这篇论文里,来自中、英三国的的研究团队宣布,辣椒摄入量和死亡风险呈负相关的关系[1]。也就是说,吃的辣椒越多,死亡风险就......关键字:
北京时间7月9日消息,据国外媒体报道,为什么男性和女性的寿命不一样呢?通常貌似强壮男性的寿命却不及身体柔弱的女性。目前,美国耶鲁大学人类学、生态学&进化生物学教授理查德&布里毕斯卡斯(Richard
G。 B......关键字:
其实这个问题,可以直接转化为太阳的寿命有多长。我个人认为,如果没有外界因素的干扰,地球能永远的转下去。但问题就是外界的因素。......关键字:
其实,这一说法并不是空穴来风。之前第三方机构Primate Labs经过对旧款iPhone机型进行的benchmark测试,发现“苹果在降低旧款iPhone性能”,而更换电池后,iPhone运行速度明显加快............关键字:
人工智能通过分析患者胸部影像预测未来五年内哪些病人会死亡,精确度为69%,与人类医生判断的精准度相当。......关键字:
我 要 评 论
热门关键词
Cypress面议 | 上海市 浦东新区
Cypress面议 | 上海市 浦东新区
Cypress面议 | 上海市 浦东新区
Cypress面议 | 上海市 浦东新区
Cypress面议 | 上海市 浦东新区近几年,车联网一直占据热搜榜居高不下,随着BAT大佬们的……
电力改变了世界,也造福了我们的生产生活。电力安全大于……
近日尼吉康在北京召开了关于锂离子二次电池的新品发布会……
1968年大众在汽车上使用了一个ECU,用于发动机的电子燃油……
以&极致突破创新&为主题,在7月26日的吹田……
演讲人:Jim时间: 10:00:00
演讲人:李思齐时间: 10:00:00
演讲人:张厚新时间: 10:00:00
预算:¥10000预算:¥10000
上海市上海市
组合压缩在存储测试系统中的应用
摘 要: 在某些特殊的测试环境中,存储测试系统中既要求大容量数据存储又要求微体积。为解决这一矛盾,在研究了游程压缩和LZW两种算法的基础上,提出了以FPGA为核心实现两种算法的无损组合压缩,利用FPGA芯片内的RAM来建立字典,用VHDL语言和状态机实现该压缩算法。仿真和综合验证表明,通过FPGA实现该组合算法,压缩效果显著,压缩性能与压缩速度均满足系统要求。关键词: 存储测试系统; FPGA; 组合压缩; VHDL本文引用地址:
存储测试系统是为完成特殊环境下测试而设计的电子物理系统,它可在高温、高压、强冲击振动、高过载等恶劣环境下自动完成被测信息的实时采集与存储记忆[1]。在某些星载、弹载测试系统中,测试环境恶劣、测试时间长,所需记录的数据量较大。但测试装置受到体积的限制,要在现有存储容量基础上获取更多的信息,就需要进行相关的数据处理。数据压缩是减少数据量的有效手段之一。数据压缩通常分为有损压缩和无损压缩两大类。为准确恢复出原始数据并结合测试数据的特点,本文选用游程(RLE)和LZW两种无损压缩算法对数据进行组合压缩。1 数据压缩理论 存储测试系统作为一种信息采集系统,目的是向使用者传递信息。由于A/D转换的位数是有限的,所以信息采集系统只能产生有限的数据,可以把数据采集系统看作是一种离散信源。根据香农信息论[2],某离散消息xi所携带的信息量: 平均信息量也称为信息熵,是在采用无损压缩时所能达到的压缩的最小极限。 实际上,消息序列的符号间往往还存在着一定的统计相关性[3],这将使得消息序列携带的信息量减少。例如,对一个标准余弦函数进行数据采集,不论采样的数据量有多大,只需要知道这个函数的幅度、频率和起始相位就可以精确地表示这一数据。这在具体数据中就表现为重复出现的数据串,消除这些重复串,降低数据中的统计相关性,也是数据压缩算法一般遵循的准则。实际的压缩器在设计上往往不是单独采用统计式或字典式压缩法,一般将几种算法结合起来,以达到高效率的压缩比。2 算法设计2.1 算法选择  在对多组实测的数据进行分析后,可以看出测试数据有一些典型的特征。图1是一典型的石油井下压力测试数据,由图可以看出,测试数据一般都具有以下特征:  (1)测试数据幅值比较连续,相邻数据差值较小,具有很强的统计相关性。  (2)大部分数据波动不大,只有少部分数据变化辐度较大。 针对上述的测试数据(12 bit的AD转换器)大部分波动很小(高4位数据基本保持不变),这样的大量重复数据非常适合游程压缩。对于低8 bit数据,虽然相邻时刻的值不可能完全相同,但其值会在测试过程中多次出现。无损数据压缩中,LZW是基于字典模型的一种压缩算法,具有自适应的特点,非常适合这种数据的压缩。表1是两种算法组合与单独使用LZW算法的对比。从表1中可以看出,采用这两种算法结合的方式对数据进行组合压缩可以获得较好的压缩效果。2.2 游程压缩算法 游程编码(RLE)是一种相对比较简单的数据压缩技术,容易以硬件实现压缩。实现游程编码分为定长游程编码和变长游程编码两种。本文采用8 bit定长游程编码,编码流程如下: (1)初始化计数器cnt=1,输入首字节P; (2)判断文件是否结束。若结束,输出P和cnt,压缩完成;若没有结束,输入次字节C,如果P=C且cnt&255,cnt=cnt+1,则重复步骤(2);如果P&C,则输出P和cnt,重复步骤(1)。直到压缩完成。2.3 LZW压缩算法 LZW算法是一种面向通用数据的即时、高效、简单,易于实现的一种无损数据压缩算法,不依赖于任何数据格式,具有很大的应用范围,且是基于字典模型的算法实现的关键在于字典的建立和查找。LZW算法的粗略描述如下[4]:  Initialize Table   STRING = get input character   WHILE there are still input characters DO   CHARACTER = get input character   IF STRING+CHARACTER is in the string table then   STRING = STRING+character   ELSE   Output the code for STRING   Add STRING+CHARACTER to the string table   STRING = CHARACTER   END of IF   END of WHILE Output the code for STRING Output End_flag 由算法描述可见,LZW算法逻辑过程简单,能够得到较快的压缩速度,易于硬件压缩。3 硬件实现3.1 系统整体设计 Cyclone II是Altera公司推出的新一代低成本系列FPGA器件[5],本设计选用Cyclone II系列的EP2C5T144I8芯片来实现数据的组合压缩。EP2C5T144I8芯片具有4 608个LE(逻辑单元)、26个M4 K的RAM、13个嵌入式乘法器、2个PLL(锁相环)和158个用户I/O引脚。系统的整体框图如图2所示。传感器采集到信号后,经过模拟适配电路进行滤波、放大后进入AD转换器,由AD转换器转换输出12 bit的数据流输入到FPGA进行压缩。高4 bit数据进行游程压缩,低8 bit数据进行LZW压缩,最后将压缩后的输出数据流存储到外部存储器中。采集完成后,通过USB专用接口电路将压缩后的数据从外部存储器中读入计算机保存下来,然后用专用软件对压缩后的数据进行解压,还原出原始采集到的数据以便进一步进行分析处理。
无人驾驶汽车是不是比人类驾驶的汽车更安全?关于这个问题业界一直存在争议。美国国家公路交通安全管理局在之前的报告中指出,汽车撞车94%是由于人为失误造成的,包括酒驾、超速、分心、疲劳。以分析国家安全享誉全球的兰德集团(Rand Corpora......关键字:
8 月的时候 Google 就在 Gmail 搜索结果中加入了一些扩充内容,现在他们又进一步扩大了全面搜索的范围,在其新开展的测试中 Google Calendar 和 Google Drive 也都出现在扩展搜索结果中了。首先在 Gma......关键字:
不少人在专卖店或是电信营业厅买完手机后,仅仅只是看看屏幕有没有亮点、外壳有没有刮伤,开开心心用了7天后才发现某个功能不正常。为了避免错失7天内可免费换一台全新机的好机会,建议消费者在购买时可以立刻输入代码、开启手机内置的“测试模式”,来检查......关键字:
我在《从你的bug总结经验教训》一文中写道,我一直在如何跟踪遇到的最有意思的bug。我最近回顾了全部194个bug(时间跨度达13年),看看从中学到了什么经验教训。......关键字:
现在Ryzen处理器还真的被爆出一个bug――运行部分FMA3测试会导致系统锁定,AMD也确认了这个问题,已经承诺通过主板固件升级解决。......关键字:
谷歌自动驾驶汽车正在不断走向成熟,除了凤凰城的城市路况,这次它们还要挑战新的地形,那就是亚利桑那州的大沙漠。谷歌自动驾驶汽车业务总监詹妮弗表示,谷歌选定亚利桑那不但是因为该州对技术研发张开怀抱,还因为它境内有各种奇特的沙漠地形可供车辆进行测......关键字:
它已经默默任凭风吹雨打屹立好几年了,或许真的到了应该“退休享福”的时候了~......关键字:
在求职面试中,应聘者在握手时的表现或许至关重要。不过,能让企业了解到握手背后的真实情况的则是性格测试。电子产品零售商HHGregg、汽车租赁企业Avis Budget Group集团以及通信公司Sprint Nextel等各类企业都在采用......关键字:
我 要 评 论
热门关键词
Cypress面议 | 上海市 浦东新区
Cypress面议 | 上海市 浦东新区
Cypress面议 | 上海市 浦东新区
Cypress面议 | 上海市 浦东新区
Cypress面议 | 上海市 浦东新区近几年,车联网一直占据热搜榜居高不下,随着BAT大佬们的……
电力改变了世界,也造福了我们的生产生活。电力安全大于……
近日尼吉康在北京召开了关于锂离子二次电池的新品发布会……
1968年大众在汽车上使用了一个ECU,用于发动机的电子燃油……
以&极致突破创新&为主题,在7月26日的吹田……
演讲人:Jim时间: 10:00:00
演讲人:李思齐时间: 10:00:00
演讲人:张厚新时间: 10:00:00
预算:¥10000预算:¥10000
上海市上海市
stm32通用定时器用做外部脉冲计数器的例程
[导读]最近几天要用到stm32对外部输入脉冲进行计数,很自然想到定时器,可是手上资料没有讲解stm32定时器如何用作外部计数器的,在网上找例程,也没找到几个正确的,自己硬着头皮仔细研究参考手册,终于知道如何配置了,并
最近几天要用到对外部输入脉冲进行计数,很自然想到定时器,可是手上资料没有讲解定时器如何用作外部计数器的,在网上找例程,也没找到几个正确的,自己硬着头皮仔细研究参考手册,终于知道如何配置了,并写了一个例程,希望将来对一些网友有用。本文引用地址: 其实通用定时器做计数器,对外部脉冲计数,还是比较简单的,使用外部时钟模式2即可轻松实现,但要注意,这种模式下,外部输入脉冲信号一定要接在相应TIM的ETR引脚上,不能接在TIMx_CHy引脚上。使用定时器的外部时钟模式2,主要就是通过配置TIMx_SMCR寄存器相应位。步骤如下:1. 若不需要滤波器,置TIMx_SMCR寄存器中的ETF[3:0]=00002. 设置预分频,TIMx_SMCR寄存器中的ETPS[1:0]3. 设置ETR的检测极性,TIMx_SMCR寄存器中的ETP位4. 开启外部时钟模式2,置TIMx_SMCR寄存器中的ECE=15. 启动计数器,置TIMx_CR1寄存器中的CEN=1我的例程是利用定时器2,定时产生周期1s的方波信号,通过PB5(LED0)输出,通过导线将PB5的方波信号输入到TIMER3的ETR引脚PD2上,通过TIMER3对该方波信号计数,计数次数到了之后,更改LED1的状态。以下程序已经过测试,可行。//timer2 ,定时器模式void TIM2_Int_Init(u16 arr,u16 psc){RCC->APB1ENR |= 1<<0;//TIM2时钟使能TIM2->ARR =TIM2->PSC =TIM2->DIER |= 1<<0;//允许更新中断TIM2->DIER |= 1<<6;//使能触发中断MY_NVIC_Init(1,2,TIM2_IRQChannel,2);//抢占1,子优先级2,组2TIM2->CR1 |= 1<<0;//使能定时器}//定时器2中断服务程序 void TIM2_IRQHandler(void){
if(TIM2->SR&0X0001)//溢出中断{LED0=!LED0; //PB5,硬件连线:将PB5连接至TIM3_ETR引脚PD2上
} TIM2->SR&=~(1<<0);//清除中断标志位 }//通用定时器3 用作外部计数器 初始化//arr:计数自动重装值。void TIM3_Int_Init(u16 arr){RCC->APB2ENR|=1<<5;//开启GPIOD端口时钟GPIOD->CRL &= 0xfffff0GPIOD->CRL |= 0x;//PD.2 浮空输入RCC->APB1ENR |= 1<<1;//使能TIM3时钟TIM3->ARR= //设定计数器自动重装值TIM3->PSC=0;
TIM3->SMCR &= ~(0xf<<8);//无滤波TIM3->SMCR &= ~(3<<12);//关闭预分频TIM3->SMCR |= 1<<15;//ETR被反相,低电平或下降沿有效TIM3->SMCR |= 1<<14;//使能外部时钟模式2TIM3->DIER |= 1<<0;//允许更新中断TIM3->DIER |= 1<<6;//允许触发中断MY_NVIC_Init(1,3,TIM3_IRQChannel,2);//抢占1,子优先级3,组2TIM3->CNT = 0x0;//清零计数器TIM3->CR1 |= 1<<0;//使能定时器,开启计数。}//定时器3中断服务程序 void TIM3_IRQHandler(void){
if(TIM3->SR&0X0001)//溢出中断{LED1=!LED1;
} TIM3->SR&=~(1<<0);//清除中断标志位 }int main(void){
Stm32_Clock_Init(9);//系统时钟设置uart_init(72,9600);//串口初始化为9600delay_init(72);//延时初始化LED_Init();
//初始化与LED连接的硬件接口BEEP_Init();
//初始化蜂鸣器端口KEY_Init();
//初始化与按键连接的硬件接口TIM3_Int_Init(20); //计数次数TIM2_Int_Init();//时钟周期0.1ms,计数5000次=定时0.5s
while(1); }实验结果:LED0 :1s点亮一次,LED1:
20s点亮一次。
贸泽电子(Mouser Electronics) 开始分销Netduino 3电子平台,能够使商业硬件解决方案和个人电子项目快速上市,同时提供了最大的设计灵活性并降低了风险。最新版本的开源Netduino平台不但具有让先前版本广受欢迎的最佳......关键字:
意法半导体(STMicroelectronics,简称ST;纽约证券交易所代码:STM)宣布正式收购专业软件开发公司Draupner Graphics。Draupner Graphics是TouchGFX软件框架的开发商和供应商。......关键字:
中国,日――通过使最新的STM32 PMSM FOC软件开发套件(SDK)支持STM32Cube开发生态系统(订货代码: X-CUBE-MCSDK),意法半导体进一步简化在STM32* 微控制器上开发先进的高能效电机驱动器......关键字:
&#61558;Arm平台安全架构(PSA)采用高性价比、领先技术全面提升物联网市场的安全技术支持
&#61558;基于STM32H7系列开发的Arm&#174; Cortex&#174;-M7微控制器整合PSA概念和先进的安全功能服务......关键字:
学习力强的程序员敢大胆地体验尝试新技术,他们的技术栈始终在保持不断地更新。他们能快速把握事物的本质,并判断出它能用来做什么,不能用来做什么。他们拥抱技术升级和革命,因为他们学习并掌握新技术的速度比其他人更快,所以他们永远不用担心失业。......关键字:
现在的编程代码全部都是英文,有没有可能有一天编程代码全是中文呢?而且如果当年计算机由中国人发明,编程代码是否就是以中文为主呢?......关键字:
我 要 评 论
热门关键词
Cypress面议 | 上海市 浦东新区
Cypress面议 | 上海市 浦东新区
Cypress面议 | 上海市 浦东新区
Cypress面议 | 上海市 浦东新区
Cypress面议 | 上海市 浦东新区

我要回帖

更多关于 苹果app暂时无法下载免费 的文章

 

随机推荐