半导体封装测试设备设备市场中,哪家厂家的设备表现较为出色?

(报告出品方/作者:五矿证券)1、半导体设备:工欲善其事,必先利其器1.1 半导体设备在硅片制造、前道及后道工艺中举足轻重半导体设备泛指用于生产各类半导体产品所需的生产设备,属于半导体产业链的关键支撑环节。半导体设备是半导体产业的技术先导者,芯片设计、晶圆制造和封装测试等需在设备技 术允许的范围内设计和制造,设备的技术进步又反过来推动半导体产业的发展。在从硅片制 造到晶圆制造,再到封装测试的整个工艺过程中,半导体设备扮演着十分重要的角色。半导体芯片制造过程复杂,前期须制备硅片,整个硅片制造过程包含多个步骤,首先将多晶 硅提纯后得到单晶硅棒,经过磨外圆、切片得到初始硅片,之后再进行倒角、研磨、抛光、 清洗和检测等工艺,最终得到可用于生产加工的硅片。期间主要设备包括单晶炉、滚圆机、 切片机、倒角机、研磨机、抛光机、清洗设备和检测设备等。在 IC 设计完成之后,就进入到 正式的芯片生产制造环节,具体分为晶圆制造(前道工艺)和封装测试(后道工艺)。晶圆制造过程是芯片制造最为核心的环节,晶圆制造中的七大步骤分别为热处理(氧化/扩散 /退火)、光刻、刻蚀、离子注入、薄膜沉积、清洗、抛光。通常热处理、光刻、刻蚀、离子注 入、薄膜沉积、清洗步骤需要重复进行若干次,之后进行 CMP 及金属化,最终还需要进行 前道量测,只有量测合格的芯片方可进入到封装测试环节。其中,热处理(氧化/扩散/ 退火) 工艺主要用到氧化炉、扩散炉、退火炉;光刻工艺主要用到光刻机、涂胶显影/去胶设备;刻蚀工艺主要用到刻蚀机;离子注入工艺主要用到离子注入机;薄膜沉积工艺主要 用到 PVD/CVD/ALD 设备;清洗工艺主要用到清洗机;抛光工艺主要用到 CMP 设备;量测则用 到膜厚/OCD 关键尺寸量测设备、电子束量测设备等。封装测试包括封装和测试两个环节,封装过程主要包括背面减薄、晶圆切割、贴片、引线键 合、模塑和切筋/成型,需用到减薄机、切割机、贴片机、烤箱、引线键合机、注塑机以及切 筋/成型设备等。封装结束后做最后的成品测试,主要用到测试机、探针台、分选机等。测试 合格后的芯片将被应用于消费电子、IoT、汽车电子、工控、医疗、通信等各下游领域。
半导体行业素有“一代设备,一代工艺,一代产品”的经验,半导体设备要超前半导体产品 制造开发新一代产品,半导体产品要超前电子系统开发新一代工艺,因此半导体设备行业是 半导体制造的基石,支撑起了整个电子信息产业,是半导体行业的基础和核心。半导体设备 价值高,一条半导体生产线中设备投资约占总投资规模的 75-80%,整个半导体产业的发展 衍生出巨大的设备需求市场。1.2 行业规模稳步上升,晶圆制造设备占比超 80%2005-2020 年,受消费电子、PC 等下游景气度提升拉动,全球半导体需求整体向好,全球半导体设备规模呈现总体上升趋势,2005 年为 328.8 亿美元, 2020 年达到历史最高的 711.9 亿美元,同比增长 19.1%。分国家和地区看,日本呈现先降后 升的趋势,2020 年规模为 75.8 亿美元,占比 10.7%;北美则先升后降,2020 年规模为 65.3 亿美元,占比 9.2%;欧洲整体稳中略降,2020 年规模为 26.4 亿美元,占比 3.7%;韩国整 体呈上升趋势,2020 年规模为 160.8 亿美元,占比 22.6%;中国台湾亦呈上升趋势,2020 年规模为 171.5 亿美元,占比 24.1%;中国大陆增速最块,规模最大,2020 年规模为 187.2 亿美元,占比 26.3%。2021Q1,受韩国三星和 SK 海力士增产存储器,中国长江存储尖端技术开 发等因素影响,全球半导体设备销售额达到 235.7 亿美元,同比增长 51%。其中韩国 73. 1 亿 美元,同比增长 118%,排名全球第一;中国大陆 59.6 亿美元,同比增长 70%,排名第 2; 中国台湾 57.1 亿美元,同比增长 42%,排名第 3。2021Q1 中国大陆和中国台湾合计占全球 占比达到 49.5%,已成为全球最重要的半导体设备销售市场。半导体设备主要包括前道工艺设备和后道工艺设备,前道工艺设备为晶圆制造设备,后道工 艺设备包括封装设备和测试设备,其他类型设备主要包括硅片生长设备等。根据 SEM I 数据, 2006-2020 年,晶圆制造设备整体规模及占比稳步提升,规模从 287.4 亿美元提升至 586.7 亿美元,占比从 71.0%提升至 82.4%,是半导体设备行业最核心的一环;封装设备保持基本稳定,从 24.6 亿美元提升至 38.8 亿美元,占比从 6.08%下降至 5.5%;测试设备先降后升, 从 2006 年的 64.2 亿美元降至 2013 年的 27.2 亿美元低点之后,到 2020 年又提升至 60.2 亿美元,占比则从 15.9%下降至 8.5%。展望未来,受益于消费电子、5G、汽车电子、IoT 需求拉动,头部晶圆厂为应对各种芯片缺货不断扩充产能,厂商纷纷扩大投资,带动了大量半导体设备的采购需求,预测全球半导体设备市场规模 2021 年将达到 953 亿美元,同比增长 33.9%;2022 年将达到 1013 亿美元,同比增长 6.3%。2020 年晶圆制造设备占全部半导体设备份额约 82%,其中光刻设备、刻蚀机和薄膜沉积设备占比最大,分别约为 25%、17%和 24%,合计占比 66%。 后道工艺设备中,封装设备占比约 5%,测试设备占比约 8%。单晶炉等其他设备占比约 4%。 总体而言,在整个半导体设备中,晶圆制造设备最为重要,其中又以光刻设备、刻蚀机、薄膜沉积设备最为核心。1.3 美国、荷兰、日韩占据绝大部分市场,国产替代空间大2020 年全球半导体设备厂商 Top15 排名中,美国应用材料营收 163.7 亿美元,占比 17.7%,排名第一;荷兰阿斯麦营收 154.0 亿美元,占比 16.7% ,排名第二;美国泛林半导体营收 119.3 亿美元,占比 12.9%,排名第三。行业 Top5 厂商合计占 比 65.5%,Top10 厂商合计占比 76.6%,Top15 厂商合计占比 82.6%,集中度较高。2020 年中国大陆已经成为最大的半导体设备市场,但全球 Top15 设备商没有中国企业,中 国半导体设备明显落后于美国、荷兰、日本等,国产化率整体不足 20%,相对较低,供给和 需求严重不匹配,国产替代、自主可控需求迫切。目前,国内也涌现出了一批优秀本土企业, 根据中国半导体行业协会数据,2019 年中国半导体设备五强企业分别为北方华创、中微公 司、中电科电子装备集团、盛美股份以及拓荆科技。我们认为,随着未来企业研发不断投入、 经验不断迭代升级、同时 Foundry 厂加速认证和导入本土设备商,行业景气度不断攀升,国 内半导体设备商将迎来快速发展期。2、硅片生长设备:晶盛机电实现 8-12 英寸设备量产出货将多晶硅拉制成单晶硅棒主要有两种技术工艺,直拉法(CZ)和区熔法(FZ)。直拉法是当今制备单晶硅的主 流技术。具体工艺流程是在石英坩埚中放入多晶硅,加热使其熔融,然后夹住一块单晶硅的籽晶并悬浮在坩埚之上,同时把籽晶的一端拉制插入熔体直到融化,之后缓慢旋转并向上提拉,这样在液体与固体的界面就会经过逐渐冷凝形成单晶硅。目前逻辑、存储器芯片中使用 的单晶硅片大多采用直拉法制备,市占率超过 90%,且以生产 12 英寸为主。区熔法单晶硅棒的制作过程可分为 3 步:1)在真空或稀有气体环境下的炉室中,利用电场 给多晶硅棒加热,直到被加热区域的多晶硅融化,形成熔融区;2)用籽晶接触熔融区,并融 化;3)将多晶硅上的熔融区不断上移,同时籽晶缓慢旋转并向下拉伸,逐渐形成单晶硅棒。 由于区熔法不使用石英坩埚,避免了很多污染源,所以用区熔法拉的单晶纯度高。用区熔法 制作的硅片主要用于功率器件和某些耐高压电子器件的制造,硅片尺寸以 8 英寸及以下尺寸 为主。与 CZ法制作的硅片相比,FZ 法最大的特点就是拉制单晶的电阻率相对较高,纯度更 高,能够耐高压,但是难以制备大尺寸硅片,机械能较差,所以在集成电路中使用较少。
整个硅片制造过程包含拉单晶、磨外圆、切片、倒角、研磨、抛光、清洗和检测等工艺,最 终得到可用于生产加工的硅片。期间主要设备包括单晶炉、滚圆机、切片机、倒角机、研磨 机、抛光机、清洗设备和检测设备等,其中单晶炉是最重要的硅片制备设备。国内厂商也已具备单晶炉生产能力,主要有晶盛机电、南京晶能等。 南京晶能已经能生产 12 英寸单晶炉设备。2020 年晶盛机电 8 英寸半导体长晶设备及加工设 备已实现批量销售,12 英寸长晶设备、研磨和抛光设备已通过客户验证并实现销售,此外晶 盛机电还具备单晶硅截断机、研磨机、抛光机等设备生产能力。除了单晶炉之外,硅片加工环节还包括滚磨机、切片机、倒角机、研磨设备、CMP 抛光、清 洗设备、检测设备等,每一项对于硅片生长都不可或缺,设备供应商以国外厂商为主,国内 厂商由于起步晚,相对落后,但是在单晶炉、滚磨机、CMP 抛光机、清洗设备等环节也实现 了一定的自主可控。3、晶圆制造设备:国外厂商全面领先,国内厂商全面布局,刻蚀机全球领先半导体设备泛指用于生产各类半导体产品所需的生产设备,属于半导体行业产业链的关键支 撑环节。半导体设备是半导体产业的技术先导者,芯片设计、晶圆制造和封装测试等需在设 备技术允许的范围内设计和制造,设备的技术进步反过来又推动了半导体产业的发展。半导 体设备通常可分为前道工艺设备(晶圆制造)和后道工艺设备(封装测试)两大类。3.1 光刻机:人类智慧集大成者,阿斯麦绝对龙头光刻技术是指在特定波长的光照作用下,借助光刻胶将掩膜版上的图形转移到基片上的技术。 光学曝光是一个复杂的物理化学过程,具有大面积、重复性好、易操作以及成本低等特点, 是半导体器件与大规模集成电路制造的核心步骤。2020 年光刻机市场规模约 151 亿美元,在整个半导体设备中占比约 21%;涂胶显影设备市场规模约 19 亿美元,在整个半导体设备中占比约 3%;干法去胶设备市场规模约 6 亿 美元,在整个半导体设备中占比约 1%。光刻工艺一般包括脱水烘烤、旋转涂胶、软烘、曝光、曝光后烘焙、显影、坚膜烘焙、显影 检查等 8 个步骤,光刻机在曝光环节使用。曝光环节是形成图形的关键步骤,以正性光刻胶为例,正胶的曝光区域更加容易溶解于显影液,因此在曝光后经过显影液溶解,晶圆上面就 形成了所需的图形。
光刻机在曝光过程中,首先通过曝光单元发射出光线,然后透过提前制作好的掩膜版到达透镜,透镜可以缩小掩膜版投影到光刻胶上的图形,最终光刻胶被紫外线曝光的部分变得可溶 解(正胶)。对比相机和光刻机,被拍摄的物体就等同于微影制程中的光罩,聚光镜就是单反 镜头,而底片(感光元件)就是预涂光阻层的晶圆。光刻机主要包括光源、投影物镜和工件台三个子系统及其 他部件。其中,光源系统主要用来发射激光,投影物镜系统主要用来对光线进行精准聚焦, 工件台主要用来承载硅片并根据光刻需求进行精密运动,其决定了光刻机的分辨率和生产效 率。对于光刻系统而言,有 3 项技术是决定性能成败的关键。第 1 是投影透镜的分辨率,分辨率 越高,可形成的图形复杂度就越高;第 2 是对准精度,在生产过程中,需要更换几十次光罩, 并且在曝光过程中会反复蚀刻电路图案,因此每一次硅晶圆和掩模版的完全对齐至关重要; 第 3 是产量,当大规模生产时,产量的高低就决定了生产力的高低。光刻机产业链主要包括上游核心组件及配套设备、中游光刻机生产及下游光刻机应用三大环 节。光刻机技术极为复杂,在所有半导体制造设备中技术含量最高。主要涉及系统集成、精 密光学、精密运动、精密物料传输、高精度微环境控制等多项先进技术,生产一台光刻机往往涉及到上千家供应商,主要组件包括双工作台、光源系统、曝光系统、浸没系统、物镜系统、光栅系统等,配套设施包括光刻胶、掩膜版、涂胶显影等。光刻机按照有无掩模,可细分为有掩模光刻机和无掩模光刻机。无掩模光刻机分为电子束/激 光/离子束直写光刻机,有掩模光刻机分为接近/接触/投影光刻机。随着光源、曝光方式不断改进,光刻机前后共经历了 5 代产品,每一代产品都在不断降低光源波长,同时缩小制程线宽。第四代浸入式光刻机,最高制程可达 7nm,在 7nm 之后必须使用第五代 EUV 光刻机,其采用 EUV 光源,波长为 13.5nm,制程节点为 7-3nm,是目前最先进的光刻机。
全球光刻机市场主要由 ASML、尼康、佳能三家占据,受全球消费电子、IoT、汽车电子等需求拉动,Foundry 厂扩产等影响,整体销量从 2016 年的 245 台上升到 2020 年的 413 台。 2020 年, EUV 光刻机全球仅有 ASML 独家供应,销量 31 台,占比 100%;ArFi 光刻机由 ASML 和尼康 2 家供应,ASML 销量 68 台,占比 86%,尼康销量 11 台,占比 14%;ArF 光刻机由 ASML 和尼康 2 家供应,ASML 销量 22 台,占比 67%,尼 康 11 台,占比 33%;KrF 和 i-line 光刻机相对低端,ASML、尼康和佳能 3 家均能供应。ASML、尼康、佳能的光刻机销量分别为 258 台、33台、 122 台,占比分别为 62%、8%、30%;销售额分别约为 780 亿元、120 亿元、88 亿元,占 比分别为 79%、12%、9%。ASML 销售额占比高于销量占比的原因,主要是 ASML 在高端光刻机领域具有绝对领先优势,尤其在 EUV 光刻机领域,更是全球独家供应商,平均 1台EUV 光刻机价值量超过 1.45 亿欧元,平均 1台DUV 光刻机价值量 接近 0.38 亿欧元,超高的技术壁垒奠定了 ASML 在高端光刻机领域的霸主地位,也保证了 高端光刻机的高价值量。2020 年 EUV、ArFi、ArF、KrF、i-line 光刻机销量分别为 31 台、79 台、33 台、143台、 127 台,占比分别为 8%、19%、8%、35%、31%;销售额分别约为 53.5 亿美元、51.4 亿 美元、16.5 亿美元、19.6 亿美元、10 亿美元,占比分别为 35%、34%、11%、13%、7%。 EUV 销量占比最低,但凭借超高的价值量,销售额占比排名第一。未来随着 4nm、3nm、甚 至 2nm 技术不断突破,先进制程占比不断提升,我们预计 EUV 价值量会不断提升,同时销售额占比会继续领先。全球光刻机市场主要由 ASML、尼康、佳能三家主导,国内企业如上海微电子已经实现 0 的 突破,上海微电子装备(集团)股份有限公司(简称 SMEE)主要致力于半导体装备、泛半 导体装备、高端智能装备的开发、设计、制造、销售及技术服务。公司设备广泛应用于集成 电路前道、先进封装、FPD 面板、MEMS、LED、Power Devices 等制造领域。其中 IC 前道 制造用 SSX600 系列步进扫描投影光刻机,可满足 IC 前道制造 90nm、110nm、280nm 关 键层和非关键层的光刻工艺需求,可用于 8 英寸或 12 英寸线的大规模工业生产。SMEE 当 前最先进的为 90nm 工艺光刻机,预计 28nm 工艺光刻机将有望在 2021-2022 年实现交付。3.2 涂胶显影/去胶:东京电子涂胶显影设备一家独大,屹唐股份干法去胶设备全球第一涂胶显影设备是光刻工艺中的设备,是在 8 英寸和 12 英寸产线上,与光刻机配套使用的涂 胶、烘烤及显影设备,包括涂胶机、喷胶机和显影机。通过与光刻机联机作业,完成光刻工 艺流程。作为光刻机的输入和输出环节,在曝光前涂胶机进行光刻胶涂覆,在曝光后显影机 进行显影,期间主要通过机械手使晶圆在各系统之间传输和处理,从而完成晶圆的光刻胶涂 覆、固化、显影、坚膜等工艺过程,涂胶和显影不仅直接影响到光刻工序曝光图案的形成, 图形质量对后续刻蚀和离子注入等工艺中图形转移的结果也有着重要影响,是 IC 制造过程 中的关键设备。
按照所处工艺环节的不同,可分为前道涂胶显影设备和后道涂胶显影设备,全球前道涂胶显影设备市场规模 2020 年为 19.06 亿美元,预计到 2023 年将上升至 24.76 亿 美元;全球后道涂胶显影设备市场规模相对较小,2020 年为 0.81 亿美元,预计到 2023 年 将上升至 1.08 亿美元。全球涂胶显影设备以前道为主,2020 年前道涂胶显影设备市场规模 占比 95.9%。分厂商来看,全球涂胶显影设备市场,东京电子占据龙头地位,占比 88%, 迪恩士、细美事和苏斯微合计占比 10%。中国涂胶显影设备市场,仍然以国外厂商为主,其 中东京电子占比 91%,迪恩士占比 5%,国内厂商芯源微占比 4%,国产化率很低。在光刻、刻蚀完成后,还需要在不损坏底层材料和结构的情况下清除各类光刻胶。去胶工艺 分为湿法和干法,湿法去胶工艺通过使用溶剂对光刻胶等进行溶解;干法去胶工艺可视为等 离子刻蚀技术的延伸,主要通过等离子体和薄膜材料的化学反应完成,是目前的主流工艺。 目前干法去胶设备技术不断提升,相应的图形化薄膜清除完整性、对标底层材料的选择比、 相应的底层材料表面保护、晶圆颗粒污染控制等技术指标日趋严格,逐渐成为先进光刻中的 一道关键步骤。2020 年全球 IC 制造干法去胶设备市场规模为 5.37 亿美元,预计到 2025 年将增长至 6.99 亿美元,CAGR 为 5.40%。全球市场格局来看,国内厂商屹唐股份排名第 一,占比 31.29%;北方华创排名第七,占比 1.66%;其余基本以国外厂商为主,包括比思科、日立高新、泛林半导体、泰仕半导体等。2018-2020 年,屹唐股份在干法去胶设备领域 分别位于全球第三、全球第二和全球第一的市场地位,市场占有率逐年提升,不断巩固在全球的领先地位。3.3 刻蚀机:干法刻蚀占比 90%,中微公司 5nm 量产出货刻蚀是利用显影后的光刻胶图形作为掩模,在 SiO2、Si3N4、金属、多晶硅等衬底上腐蚀掉一 定深度的薄膜物质,得到与光刻胶图形相同的集成电路图形。刻蚀是用化学或物理方法有选 择地在硅片表面去除不需要的材料的过程,是与光刻相联系的图形化处理的一种主要工艺, 是半导体制造工艺的关键步骤。同光刻工艺技术一道,刻蚀技术也决定着集成电路图形的精 细程度。
在刻蚀工艺中,最核心的设备就是刻蚀机。刻蚀机产业链上游为四大组成部分,包括预真空 室、刻蚀腔体、供气系统及真空系统;中游为刻蚀机的制造,分为湿法刻蚀及干法刻蚀 2 种; 下游应用包括半导体器件、太阳能电池及其他微机械制造等。根据刻蚀方法不同,刻蚀主要分为湿法刻蚀和干法刻蚀。湿法刻蚀是使用液体化学药品或刻 蚀剂去除基板材料的工艺,是化学反应过程。干法刻蚀则是使用等离子体或蚀刻气体来去除 衬底材料,期间会产生气态产物,这些产物应扩散到大量气体中并通过真空系统排出。干法 刻蚀有三种类型:化学反应(使用反应性等离子体或气体),物理去除(通过动量传递)以及化学反应和物理去除的组合。湿法刻蚀各向异性较差,侧壁容易产生横向刻蚀造成刻蚀偏差,通常用于工艺尺寸较大的应 用,或用于干法刻蚀后清洗残留物等。干法刻蚀是目前主流的刻蚀技术,其中以等离子体干 法刻蚀为主导。根据产生等离子体方法的不同,干法刻蚀主要分为电容耦合等离子体刻蚀 (CCP)和电感耦合等离子体刻蚀(ICP)。按照被刻蚀的材料类型来划分,干法刻蚀主要分 成三种:金属刻蚀、介质刻蚀和硅刻蚀。电容耦合等离子体刻蚀(CCP)主要是以高能离子 在较硬的介质材料上,刻蚀高深宽比的深孔、沟槽等微观结构;而电感耦合等离子体刻蚀(ICP ) 主要是以较低的离子能量和极均匀的离子浓度刻蚀较软的或较薄的材料。2010-2016 年,刻蚀设备市场规模一直在 65 亿美元左右波动, 2017年之后由于全球半导体产线 Capex 提升,尤其是中国Foundry 厂以及存储厂提速建设, 同时工艺制程提升带动刻蚀机加工时长提升,刻蚀设备需求快速提升,行业规模快速增长, 2017-2020 年,全球刻蚀设备规模从 92 亿美元增长至 123 亿美元。预计 2021-2025 年,全 球刻蚀设备规模将从 132 亿美元增长至 155 亿美元,行业景气度持续提升。国内厂商中,中微公司市占率 1.37%,是国内领军企业,在逻辑集成电路制造环节,公司开 发的12英寸高端刻蚀设备已运用在国际知名客户 65nm-5nm 等先进的芯片生产线上;同时, 公司根据先进集成电路厂商的需求,已开发出小于 5nm 刻蚀设备用于若干关键步骤的加工, 并已获得行业领先客户的批量订单。在 3D NAND 芯片制造环节,公司的 CCP 刻蚀设备可 应用于 64 层和 128 层的量产,同时公司根据存储器厂商的需求正在开发新一代能够涵盖 128 层及以上关键刻蚀应用以及相对应的极高深宽比的刻蚀设备和工艺。国内厂商起步较晚,如中微公司、北方华创、屹唐股份等企业尚处于追赶阶段, 全球市场占有率较低。国内集成电路制造厂商及国产刻蚀设备仍有较大的发展空间。
随着集成电路芯片制造工艺的进步,线宽不断缩小、芯片结构 3D 化,晶圆制造向 7nm、5nm 以及更先进的工艺发展。由于目前先进工艺芯片加工使用的浸没式光刻机受到波长限制, 14nm 及以下的逻辑器件微观结构的加工多通过等离子体刻蚀和薄膜沉积的工艺组合 ——多 重模板工艺来实现,利用刻蚀工艺实现更小的尺寸,使得刻蚀技术及相关设备的重要性进一 步提升,刻蚀等相关设备的加工步骤也进一步增多。 14nm 制程所需使用 的刻蚀步骤达到 64 次,较 28nm 提升 60%;5nm 制程所需刻蚀步骤更是高达 160 次,较 14nm 提升 150%,工艺升级持续推动刻蚀机用量提升。在存储器领域,集成电路 2D 存储器件的线宽已接近物理极限,NAND 闪存已进入 3D 时代。 目前 64 层 3D NAND 闪存已进入大生产,96 层和 128 层闪存已处于批量生产阶段。3D NAND 制造工艺中,增加集成度的主要方法不再是缩小单层上线宽而是增加堆叠的层数。刻蚀要在氧化硅和氮化硅的叠层结构上,加工 40:1 到 60:1 的极深孔或极深的沟槽。3D NAND 层数的增加要求刻蚀技术实现更高的深宽比。3.4 薄膜沉积:ALD 是未来趋势,北方华创与拓荆科技奋起直追薄膜沉积是半导体器件制造过程中的一个重要环节,通过薄膜沉积工艺可以在晶圆上生长出 各种导电薄膜层和绝缘薄膜层,为后续工艺打下基础。根据工作原理不同,薄膜沉积工艺可分为物理气相沉积(PVD)、化学气相沉积(CVD)和原子层沉积(ALD)三大类,所需的设 备是薄膜沉积设备。PVD:是指是用物理的方法使镀膜材料气化,在基体表面沉积成膜的方法,主要有蒸镀、溅 射和离子镀等。特点是沉积材料纯度佳、品质稳定、温度低、速度快、制造成本较低。主要 用于金属薄膜的沉积。其中,蒸镀是在真空环境中把蒸镀材料加热熔化后蒸发,使其大量原 子、分子、原子团离开熔体表面,凝结在工件表面上形成镀膜。溅射是用高能粒子(通常是 由电场加速的正离子)冲击固体表面,固体表面的原子、分子与这些高能粒子交换动能,从 而由固体表面飞溅出来,飞溅出来的原子及其他离子在随后过程中沉积凝聚在工件表面形成 薄膜镀层,称为溅射镀膜。离子镀是在真空条件下,利用气体放电使气体或被蒸发物质离子 化,在气体离子或蒸发物质离子轰击作用下,把蒸发物质或其反应物蒸镀在工件上。CVD:是指在真空高温条件下,两种或两种以上的气态原材料导入到一个反应室内,气态原 材料相互之间发生化学反应,形成一种新的材料,沉积到晶片表面上。特点是用途广泛、不 需要高真空、设备简单、可控性和重复性好、适合大批量生产。主要用于介质/绝缘材料薄膜 的生长。包括低压 CVD(LPCVD)、常压 CVD(APCVD)、等离子体增强 CVD(PECVD)、 金属有机物 CVD(MOCVD)、激光 CVD(LCVD)等。ALD:ALD 是一种可以将物质以单原子膜形式一层一层的镀在基底表面的方法,是一种原子 尺度的薄膜制备技术,本质属于 CVD 的一种,特点是可以沉积均匀一致,厚度可控、成分可 调的超薄薄膜,ALD 方法既可以沉积介质/绝缘薄膜,也可以进行金属薄膜的沉积。随着纳米技术和半导体微电子技术的发展,器件和材料的尺寸要求不断地降低,同时器件结构中的宽深比不断增加,这样就要求所使用材料的厚度降低至十几纳米到几个纳米数量级。相对于传 统的沉积工艺,ALD 技术具有优异的台阶覆盖性、均匀性和一致性,可沉积宽深比达 2000:1 的结构,因此逐渐成为了相关制造领域不可替代的技术,具有很大发展潜力和应用空间。全球薄膜沉积设备整体规模稳定增长,2020 年市场 规模为 172 亿美元,受益于 Foundry 厂、存储、AMOLED 以及太阳能电站等需求的增加, 预计到 2025 年将达到 340 亿美元。分类型来看,CVD 设备应用最广,占比 57%;其次是 PVD,占比为 25%;ALD 及其他镀膜设备占比 18%。
从各类设备来看,全球 CVD 设备市场中,应用材料占比 30%,泛林半导体占比 21%,东京 电子占比 19%,三大厂商占据了全球 70%的市场份额;全球 PVD 设备市场中,应用材料占 比 85%,基本垄断,处于绝对龙头地位;全球 ALD 设备市场中,东京电子占比 31% ,先域 占比 29%,合计占比 60%。中国整个薄膜沉积设备领域 98%以来进口,国产化率仅有 2%, 未来替代空间巨大,国内厂商中,北方华创和拓荆科技处于领先地位,北方华创 CVD、PVD 等相关设备已具备 28nm 工艺水平,14/10/7nm 等先进制程正处于研发与验证阶段。拓荆科技 CVD 和 ALD 相关设备已广泛应用于国内晶圆厂 14nm 及以上制程集成电路制造产线,并 已展开 10nm 及以下制程产品验证测试。3.5 离子注入:掺杂核心工艺,美国厂商占比 90%离子注入机是集成电路制造前工序中的关键设备,为改变半导体载流子浓度和导电类型需要 对半导体表面附近区域进行掺杂。掺杂通常有两种方法:一是高温热扩散法,即将掺杂气体 导入放有硅片的高温炉,将杂质扩散到硅片内的一种方法;二是离子注入法,通过离子注入 机的加速和引导,将要掺杂的离子以离子束形式入射到材料中去,离子束与材料中的原子或 分子发生一系列理化反应,入射离子逐渐损失能量,并引起材料表面成分、结构和性能发生 变化,最后停留在材料中。与扩散工艺相比,离子注入可对注入剂量、注入角度、注入深度、 横向扩散等方面进行精确的控制,使得离子注入在半导体制造中被广泛应用。离子注入机产业链上游主要包括机械材料、电器材料、仪器仪表、真空系统、扫描系统等, 中游为离子注入机的设计制造,下游应用包括集成电路、太阳能电池以及 AMOLED 制造等。根据离子束电流和束流能量范围的不同,通常可以把离子注入机分为低能大束流离子注入机、 中低束离子注入机和高能离子注入机。不同类型的离子注入机运行原理基本相同,由于离子 束电流和束流能量范围不同,在工艺中的主要应用各有不同。2013-2019 年,全球离子注入机市场整体呈现上升趋势,2013 年为 8. 1 亿 美元,2019 年增长到 18 亿美元,同比增长 17.6%。中国市场 2016 年为 23.2 亿元,2020 年为 44.5 亿元,其中 IC 用离子注入机规模最大,2020 年市场规模为 43.2 亿元,占比 97.1%。目前世界范围内以集成电路领域离子注入机为主要业务的公司共有 6 家, 包括 美国 AMAT/Varian 和 Axcelis,日本 SMIT 和 Nissin,中国台湾 AIBT和中国电科装备(中科信)。 美国 AMAT/Varian 和 Axcelis,其中 Axcelis 的前身为 Eaton,在高能离子注入机领域占据了近乎垄断地位;日本 SMIT拥有全系列离子注入机产品,日本 Nissin 主要产品为中束流离子 注入机,大束流正在研发之中;中国台湾 AIBT 只涉及大束流离子注入机产品业务;中国电子科技集团旗下中科信已成功实现离子注入机全谱系产品国产化,包括中束流、大束流、高 能、特种应用及第三代半导体等离子注入机,工艺覆盖至 28nm。3.6 热处理(氧化/扩散/退火):RTP 设备屹唐股份全球第二在半导体工艺中,热处理是不可或缺的重要工艺之一,具体包括氧化/扩散/退火。氧化是将硅 片放置于氧气或水汽等氧化剂的氛围中进行高温热处理,在硅片表面发生化学反应形成氧化 膜的过程,氧化膜可作为离子注入的阻挡层及注入穿透层(损伤缓冲层)、表面钝化、绝缘栅 材料以及器件保护层、隔离层、器件结构的介质层等。扩散是指在高温条件下,利用热扩散 原理将杂质元素按工艺要求掺入硅衬底中,使其具有特定的浓度分布,从而改变硅材料的电 学特性,形成半导体器件结构,扩散工艺在硅 IC 工艺中被用于制作 PN 结或构成集成电路中 的电阻、电容、互连布线、二极管和晶体管等器件。退火是指在氮气等不活泼气氛中加热离 子注入后的硅片,修复离子注入带来的晶格缺陷的过程,可以激活杂质、消除损伤。用于氧 化/扩散/退火的基本设备包括卧式炉、立式炉和快速升温炉(RTP)。传统的退火技术包括高温炉退火、尖峰退火、激光/闪光毫秒退火等,普通炉管退火设备需要 几小时的加热时长,随着 IC 性能提升,快速热退火设备(RTP)只需几秒甚至几毫秒便可使 晶圆上升至所需温度,总体热预算较低,可以更好地提高晶圆的性能,满足先进 IC 制造的需 求,在晶圆加工/IC 制造中的竞争优势愈发明显。
2020 年全球热处理设备市场规模 15.37 亿美元,其中快速热处理设备 7.19 亿美元,占比 46.8%,氧化/扩散炉 5.52 亿美元,占比 35.9%,栅极堆叠设备 2.66 亿美 元,占比 17.3%。预计未来将保持稳定增长,2025 年规模达到 19.91 亿美元,CAGR 为 6.7%。 分厂商来看,应用材料占比 69.72%,全球第一,中国厂商屹唐股份占比 11.5%,全球第二, 其他还包括国际电气、维易科、斯库林等。3.7 清洗:湿法清洗占比 90%,盛美股份国内领先在晶圆制造过程中,清洗可以减少杂质,提升良率,实际生产中一方面需要提高单次的清洗 效率,同时在每一步光刻、刻蚀、薄膜沉积等重复性工序后,都需要一步清洗工序,清洗步 骤约占整体工艺步骤的 33%。随着线宽不断缩小,IC 对杂质越来越敏感,因此清洗过程就 显得尤为重要。整个清洗设备上游包括气路系统、管路系统、控制系统等,中游为清洗设备的设计和制造, 下游则应用于 Foundry 厂、IDM 厂等。半导体清洗工艺分为湿法清洗和干法清洗,湿法清洗采用特定的化学液体,主要包括溶液浸 泡法、机械刷洗法、超声波清洗法等,干法清洗主要包括等离子清洗、气相清洗法等,目前 90%以上的清洗步骤为湿法工艺。其中湿法清洗对应的设备包括单片清洗设备、槽式清洗设 备、组合式清洗设备和批式旋转喷淋清洗设备等,其中以单片清洗设备为主流。2018 年全球半导体清洗设备市场规模为 34.17 亿美元,2019-2020 年全 球半导体行业景气度下行,市场规模有所下降,分别为 30.49 亿美元和 25.39 亿美元,预计 2021 年随着全球半导体行业复苏,全球半导体清洗设备市场开始逐步增长,预计到 2024 年 将达到 31.93 亿美元。全球清洗设备厂商中,日本迪恩士全球第一,占比 45.1%;东京电子、 细美事和泛林半导体分列 2-4 位,占比分别为 25.3%、14.8%和 12.5%,盛美股份和其他厂 商合计占比 2.3%。2019 年中国半导体清洗设备招标份额中,依然以国外厂商为主,迪恩士占比 48%,泛林半 导体占比 20%,东京电子占比 6%,国内企业合计占比 22%。其中盛美股份占比 20.5%,在 国内企业中排名第一,在所有企业中排名第二;北方华创占比 1%;芯源微占比 0.5%。3.8 CMP:兼具机械抛光与化学抛光优点,美日厂商合计占比超 90%CMP 技术,即化学机械抛光,是先进集成电路制造前道工序、先进封装等环节必需的关键制 程工艺,集成电路制造的核心技术,主要目的是实现芯片的平坦化。早期的抛光技术包括机 械抛光和化学抛光,但由于去除速率低,在先进制程中均无法满足芯片量产需求,而 CMP 技 术结合了机械抛光和化学抛光各项优点,兼顾了表面全局和局部平坦化,抛光质量高,在目 前 IC 制造中被广泛使用。CMP 设备包括抛光、清洗、传送三大模块,在工作中,抛光头将 晶圆待抛光面压抵在粗糙的抛光垫上,借助抛光液腐蚀、微粒摩擦、抛光垫摩擦等耦合实现 全局平坦化。整个 CMP 设备上游包括检测系统、控制系统、抛光垫等,中游为 CMP 设备的设计和制造, 下游则应用于集成电路、平板显示、MEMS 等。
2012-2018 年,全球 CMP 市场规模整体呈现增长态势,14.77 亿美元上升 到 25.82 亿美元,2019 年受下游需求影响,略微下滑至 23.05 亿美元。中国 CMP 设备市场规模 2013 年为 0.8 亿美元,到 2019 年上升至 4.6 亿美元,2020 年 略降至 4.3 亿美元。全球CMP 设备厂商中,应用材料占据绝大部分份额,占比70%,其次为荏原机械,占比 25%。 2019 年分全球各国家地区来看,中国占比 35%,是全球 CMP 设备最大市场,其中中国大陆 25%,中国台湾 10%;其次是韩国,占比 26%,北美、日本和欧洲分列 3-5 名,占比分别为 13%、9%、7%。随着 CMP 设备制造技术不断发展,国内厂商中也涌现出了一批优秀的企业。2019 年华力微 电子 CMP 设备累计招标结果中,国内企业华海清科和天隽机电分别中标 6%和 12% ,合计 占比接近 20%。国内 CMP 设备生产企业主要有华海清科、天隽机电、中电 45 所、烁科精 微等,填补了国内 CMP 设备厂商空白,但是相比国外厂商,CMP 设备国产化率仍有较大提 升空间。4、封装测试设备:封装设备国外主导,测试设备国内厂商占据一席之地4.1 封装设备:全球市场规模近 40 亿美元,国外厂商主导2020 年全球半导体封装设备市场规模为 38.8 亿美元,同比增长 34%,占整个半导体设备市场规模约 5%,中国半导体封装设备市场规模近年来小幅增长, 2018 年 9.2 亿美元,2019 年 9.4 亿美元,2020 年增长到 10.4 亿美元。封装过程步骤较多, 所需的设备类型也较多,主要包括贴片机、划片机/检测设备、引线焊接设备、塑封/切筋成型 设备等。细分到各个产品种类来看,贴片机占比最大,达到 30%;划片机/检测设备占比 28%; 引线焊接设备占比 23%;塑封切筋成型设备占比 18%;电镀设备占比 1%。全球封装设备厂商以国外为主,贴片机国外厂商包括荷兰 Besi、新加坡 ASM Pacific、美国 K&S 等,中国厂商包括艾科瑞思、大连佳峰等;划片机/检测设备和引线焊接设备国外厂商包 括 ASM Pacific、K&S 等,中国厂商包括中电科 45 所等;塑封/切筋成型设备国外厂商包括 Town、YAMADA、Besi、ASM Pacific 等,中国厂商包括富士三佳等。4.2 测试设备:美日厂商领先,国内厂商积极布局电子系统故障检测存在“十倍法则”,即如果一个芯片中的故障没有在芯片测试时发现,则在 电路板(PCB)环节发现故障的成本为芯片级别的十倍。因此,检测在半导体产业中扮演着 十分重要的角色,从设计验证到最终测试都不可或缺,贯穿整个半导体制造过程。具体包括 设计验证、工艺控制检测、晶圆测试(CP)和成品测试(FT)。半导体检测设备,分为前道量测和后道测试。前道量测主要在晶圆制造过程使用,目的是检 查每一步制造工艺后晶圆产品的加工参数是否达到设计要求或存在缺陷,更多偏向于外观性 /物理性检测,主要用到膜厚/OCD 关键尺寸量测设备、电子束量测设备等。具体分为“量测” 和“检测”,量测指的是通过量定薄膜厚度、掺杂浓度、关键尺寸等关键参数,检验是否符合 设计要求,检测主要是检测有无颗粒污染、机械划伤和图案缺陷等,前道量测在一定程度上 反映了代工厂的竞争力;半导体后道测试设备主要是用在封测环节,目的是检查芯片的性能 是否符合要求,更多偏向于功能性/电性测试,主要使用测试机、探针台和分选机。2020年全球半导体前道量测设备市场规模34.1 亿美元, 占全球半导体设备市场规模约 5%;后道测试设备市场规模 60.2 亿美元,占全球半导体设备 市场规模约 8%;中国半导体测试设备市场规模小幅增长,由 2019 年的 147 亿元,增长到 2020 年的 176 亿元,预计 2021 年销售额将达到 206 亿元,2026 年将达到 398 亿元。
2018 年中国半导体后道测试设备中,测试机、探针台和分选机占比分别为 63.1%、15.2%和 17.4%。根据应用领域的不同,测试机主要包括 SOC 测试机、存储测试机、 模拟测试机、数字测试机等,占比分别为 14.8%、27.6%、7.6%和 8.0%。目前全球先进测试设备制造技术基本掌握在美国、日本等厂商手中。2020 年全球前道量测设 备厂商中,科磊排名第一,占比 58%;应用材料排名第二,占比 12%;国内从事前道量测设 备的厂商主要有精测电子(子公司上海精测)、赛腾股份(收购 Optima)、上海睿励(中微公司持股 20.45%,第一大股东)、中科飞测、东方晶源等。后道测试机厂商中,爱德万、泰瑞达和科休基本垄断了市场,占比分别为 50%、40%和 8%,主要生产中高端设备,效率和稳定性较好,国内测试机厂商有长川科技、华峰测控、联动科技等,以生产大功率测试机、模 拟/数模混合测试机为主,主要用于分立器件、电源 IC 等产品,其中华峰测控在中国模拟测 试机领域市占率约 60%。在全球探针台领域,东京精密占比 46%,排名第一;东京电子占比 27%,排名第二,二者合 计占比 73%,其余厂商主要有中国台湾旺矽、惠特、深圳矽电、长川科技、中电科 45 所等。 中国大陆探针台市场,东京精密和东京电子依然占据最大份额,合计占比 58%。在分选机领 域,爱德万、科休、爱普生合计市占率约 60%,国内企业主要有长川科技、上海中艺等。5、芯片制造各工艺步骤国内外厂商对比从公司角度,全球半导体设备公司中,仍然以国外公司为主,前道设备中,应用材料设备产 品线广,涉及干法刻蚀、CVD、PVD、RTP、离子注入、CMP 设备等;ASML 在光刻机领域 独树一帜,EUV 更是 100%独家供应;泛林半导体则主攻刻蚀和薄膜沉积设备;东京电子在 刻蚀、薄膜沉积、热处理、清洗以及探针台设备方面均有所建树;科磊则专注前道量测设备。 后道测试设备中,爱德万和泰瑞达遥遥领先。国内厂商亦在奋起直追,北方华创产品线丰富, 涉及刻蚀、薄膜沉积、热处理和清洗等设备;中微公司刻蚀机处于国际领先地位,5nm 刻蚀 机已实现出货,同时涉及 MOCVD,并参股布局前道量测和 ALD 设备商,产品线布局日益完 善,前景十分广阔;上海微电子 90nm 光刻机已经出货,有望在 2021-2022 年实现国产 28nm 光刻机量产;盛美股份专注清洗设备;晶盛机电在硅片生长和加工设备方面国内领先;芯源 微则在涂胶显影领域国内领先;华海清科专注 CMP 设备;万业企业(凯世通)已经开始布 局集成电路用离子注入机;精测电子子公司上海精测在前道量测和后道测试均衡布局;长川 科技在后道测试设备全布局;华峰测控则专注模拟测试机。从半导体工艺工序角度,硅片制造设备主要有德国 PVA TePla AG、美国 Kayex 等,国内主 要有晶盛机电;前道设备中,最重要的光刻、刻蚀、薄膜沉积设备基本被阿斯麦、应用材料、 泛林半导体、东京电子等美国、荷兰、日本厂商垄断,国内厂商中微公司、北方华创、芯源 微、拓荆科技、屹唐股份、上海微电子在各细分领域有所斩获;其余的热处理、离子注入、 CMP、清洗、量测设备等同样被应用材料、泛林半导体、东京电子、先域、荏原机械、迪恩 士、科磊等美国、日本、荷兰公司主导,国内厂商亦有布局,包括中电科(中科信)、万业企 业(凯世通)、华海清科、盛美股份、至纯科技、精测电子(上海精测)等。后道设备中,封 装设备厂商主要有 Besi、ASM 太平洋科技等,国内中电科 45 所有所突破;测试设备则被爱 德万、泰瑞达、科休、东京精密、东京电子等企业垄断,国内长川科技实现测试机、探针台、 分选机全布局,华峰测控则在模拟测试机领域国内领先。总体而言,半导体设备国产化率普 遍不高,除了去胶设备近 70%,清洗设备 22%,其余基本均在 20%以下,光刻机领域低于 1%,半导体设备国产化率整体不足 20%,未来国产替代提升空间大。6、新一轮景气周期开启,国产替代刻不容缓6.1 政策+资金支持半导体设备行业是国家产业政策鼓励和重点支持发展的行业,半导体设备作为芯片上游行业, 对芯片制造起着至关重要的作用,由于与工艺流程密切相关,技术壁垒高,突破难度大,为大力发展半导体行业,加速推进相关设备自主可控,国家先后颁布了一系列政策措 施支持行业发展,为行业发展深度助力。中国半导体设备行业迎来了前所未有的政策契机,有助于中国半导体设备行业技术水平提高和行业快速发展。国家同样在资金层面大力支持,先后设立了大基金一期和二期,体现出国家对半导体设备行业的重 视和支持。6.2 全球半导体产能向中国大陆转移全球晶圆以 12 英寸为主。全球量产晶圆尺寸包括 6 英寸、8 英寸、12 英寸等,其中 12 英寸 应用最为广泛,8 英寸次之。根据 SEMI 数据,2018 年全球 12 英寸市场份额 64% ,8 英寸 市场份额 26%,合计占比 90%,全球晶圆产能主要由 12 英寸和 8 英寸主导。
未来 12 英寸及 8 英寸产能持续提升。12 英寸方面,2019-2024 年全球 12 英寸 Foundry 厂数量将由 123 个增加至 161 个,共新增 38 个,其中中国台湾增加 11 个, 中国大陆增加 8 个,合计 19 个,占全球新增数量的 50%,2024 年产能将达到 700 万片/月 以上。其中中国大陆有望快速增长,SEMI 预计中国大陆 12 英寸 Foundry 厂产能全球占比 将由 2015 年的 8%增长至 2024 年的 20%,产量达到 150 万片/月。8 英寸方面,根据 SEMI 数据,2024 年全球 8 英寸产能将达到历史新高的 660 万片/月,相比于 2020 年提升 17%, 增加 95 万片/月。2021-2022 年全球将新建 29 座晶圆厂,其中 2021 年底前开始建设 19 座, 中国大陆和中国台湾最多,分别为 5 座和 6 座;其余 10 座 2022 年开工,中国大陆 3 座, 中国台湾 2 座,美国 2 座。这 29 座晶圆厂达产后将新增产能 260 万片/月(8 英寸等效),新 建晶圆厂主要用来满足通信、高性能计算、自动驾驶汽车等市场对芯片的强劲需求。受益于 12 英寸和 8 英寸产能扩张,以及 2021-2022 年全球新建 29 座晶圆厂,半导体设备行业将持 续受益。6.3 北美半导体设备支出创新高北美作为全球设备龙头地区,2020 年全球 Top15 厂商中,美国有 4 家,分别是应用材料、 泛林半导体、科磊和泰瑞达,合计占比 38.9%,因此北美半导体设备支出对于判断全球半导体设备行业景气度具有指向意义。近 30 个月的北美半导体设备支出整体 呈现出增长趋势,在 2021 年上半年增速创历史新高,2021 年 6 月北美半导体设备制造商出 货金额为 36.7 亿美元,较 2021 年 5 月的 35.9 亿美元环比提升 2.3%,相较于 2020 年同期 23.2 亿美元同比提升 58.4%,行业景气度持续向好。6.4 全球晶圆厂 Capex 提升作为晶圆厂上游行业,半导体设备与晶圆厂资本开支密切相关。2019 年全球半导体资本开支中,Top5 厂商(三星、台积电、英特尔、SK 海力士、美光)占比高 达 68%左右,因此 Top5 厂商的资本开支直接决定了全球半导体资本开支走势,亦决定了半 导体设备行业的景气度。展望 2021 年 Top5 厂商资本开支,三星由于对 DRAM 未来市场前景不明确,DRAM 投资或 将趋缓,预计 2021 年和 2020 年基本持平,达到 280 亿美元;英特尔 2020 年资本开支 143 亿美元,受美国半导体基建投资预期拉动,2021 年预计提升至 195 亿美元,同比增长 37%; 台积电方面,2020 年 172 亿美元,由于 5G、高性能计算等应用趋势推升,公司决定调高 2021 年资本开支至 300 亿美元,同比大幅增长 74%,预计 80%将用于 3nm、5nm 及 7nm 等先进制程,10%用于先进封装技术量产需求,10%用于特殊制程;自 2012 年以来,全球半导体晶圆厂资本开支呈现出整体上升,小幅波动的态势。由于存储器市场持续火热以及中国 IC 投资高涨,2018 年资本开支达到 1071 亿美元,2019 年由于供过于求,开始消化库存,资本开支回落至 1027 亿美元,同比下降 4.1%。全球半导体晶圆厂资本开支具有周期性,自 2020 年开始,半导体行业迎来了新一轮上升周期,2020 年由于疫情爆发,新能源车放量,全球缺芯问题严重,晶圆厂资本开支企稳回升,达到 1121 亿美元,同比增长 9.2%。2021 年,由于缺芯问题依然严峻,先进制程进一步突破,同时美国、日本、欧洲等普遍意识到半导体制造本土化的重要性,预计全球半导体晶圆厂资本开支规模有望持续提升至 1270 亿美元,同比增长 13%。伴随着半导体行业资本开支持续拔高,半导体设备行业景气度有望不断提升,相关厂商有望进一步受益。
7、重点企业分析7.1 晶盛机电公司是国内领先的半导体材料装备和 LED 衬底材料制造的高新技术企业,围绕 IC、太阳能 光伏、LED 等领域开发相应设备及材料。公司开发的晶体生长设备、晶体加工设备、晶片加 工设备、叠瓦组件设备等主要应用在半导体及光伏产业,其中 8 英寸长晶设备及加工设备已 批量销售,12 英寸长晶设备、研磨和抛光设备已通过客户验证并实现销售,其他加工设备也 陆续客户验证中。碳化硅外延设备已通过客户验证,同时在碳化硅晶体生长、切片、抛光环 节规划建立测试线,以实现装备和工艺技术的领先。在光伏行业,公司将紧跟行业发展浪潮,强化竞争优势;在半导体领域,持续推进半导体装 备和辅材耗材的新产品研发和市场推广,加快 12 英寸单晶硅的客户验证和导入;在第三代 半导体领域,公司积极布局碳化硅生长设备及材料开发,已成功生长出 6 英寸碳化硅晶体。 未来有望持续受益于半导体、光伏行业景气度上行带来的重大发展机遇。7.2 中微公司公司主要产品为半导体刻蚀设备和 MOCVD 设备,分别应用于集成电路刻蚀工艺和化合物半 导体外延片生长。刻蚀设备是半导体前道设备中 3 大最重要设备之一,公司的 CCP 目前已 达到 5nm 工艺并且实现销售,同时公司也在积极开发新一代 ICP 设备。目前公司等离子体 刻蚀设备已被广泛应用于国际一线客户从 65nm 到 5nm 的集成电路加工制造及先进封装。公司的 MOCVD 设备在行业领先客户的生产线上大规模投入量产,公司已成为世界排名前列、 国内占主导地位的氮化镓基 LED 设备制造商。作为半导体设备国内领头企业,公司通过入股方式积极布局半导体制造多种设备,努力打造 半导体设备平台型公司。入股拓荆科技,布局 CVD 和 ALD 领域;入股上海睿励,布局前道 量测设备。未来,公司刻蚀机将受益于先进制程进步以及国产替代的大趋势,MOCV D 则受益于Mini/Micro LED新型显示带来的LED外延片需求量快速增长,以及疫情环境下紫外 LED 杀菌、消毒意识逐步增强。8、风险提示1、消费电子、新能源车等下游需求不及预期;2、晶圆厂扩产、资本开支不及预期;3、全球新冠疫情加剧。(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)精选报告来源:【未来智库官网】。

我要回帖

更多关于 半导体封装测试设备 的文章

 

随机推荐