fpga学习板哪里有生产的,想买一款价格实惠的,求推荐

查看: 1889|回复: 5
低价出售三块超值FPGA开发板,有意者速度。
主题帖子精华
高级会员, 积分 820, 距离下一级还需 180 积分
在线时间67 小时
现出售三块FPGA开发板。
01be961bac080dd51a613_432.jpg (0 Bytes, 下载次数: 0)
22:54 上传
以上两块板子,左侧的130元,右侧的280元;板子均为至芯科技出品的,尤其是左侧的板子,现在更是配套了非常丰富完善的实验和教程。非常适合入门。右侧的板子外设丰富,适合希望即学习逻辑设计,又想学习NIOS II的。购买板子即可送网络上目前还找不到的一些资料哦,相信大家懂的。
ee7b002fd657a063c64754dddf90bbd7_808.jpg (0 Bytes, 下载次数: 0)
22:54 上传
DE1-SOC,自己买过一块,朋友又送我一块,因此特转让一块,99.9新,所有配件齐全。1200块。内嵌双核ARM Cortex A9,能够运行linux操作系统。有诚意的,即送闪迪8G内存卡一张,C10的速度等级哦。
fdd1eeeb1cfd5b2a7fdf_352.jpg (0 Bytes, 下载次数: 0)
22:54 上传
详细请QQ联系:
。做最用心的FPGA学习板和教程资料
主题帖子精华
初级会员, 积分 120, 距离下一级还需 80 积分
在线时间21 小时
好高大上的板子!顶一个
主题帖子精华
高级会员, 积分 820, 距离下一级还需 180 积分
在线时间67 小时
自己给自己顶一个
。做最用心的FPGA学习板和教程资料
主题帖子精华
新手上路, 积分 35, 距离下一级还需 15 积分
在线时间0 小时
版主好,弱弱的问一下DE1-SOC这块板子能不能便宜点,700块行不,求轻喷,穷学生,凑不出多点来了。真想用。。。。顺便帮顶。。谢谢谢谢谢谢
主题帖子精华
高级会员, 积分 820, 距离下一级还需 180 积分
在线时间67 小时
回复【4楼】th4831414:
---------------------------------
700确实少了点儿,不过这个价格可以考虑米尔科技最近推出的那个Xilinx的soc的板子。Xinlinx的SOC早出一年,各方面资料要齐全很多,方便学习
。做最用心的FPGA学习板和教程资料
主题帖子精华
新手上路, 积分 35, 距离下一级还需 15 积分
在线时间0 小时
学校实验室的产品都是altera的,周围的同学很少用Xilinx的哎~~都用altera的产品。。。集成电路专业的,还想以后做soc呢,版主学长就......万分感谢.....
Powered by21ic官方微信-->
后使用快捷导航没有帐号?
请完成以下验证码
查看: 259|回复: 1
求推荐几款FPGA芯片或开发板
&&未结帖(20)
主题帖子积分
初级技术员, 积分 55, 距离下一级还需 45 积分
初级技术员, 积分 55, 距离下一级还需 45 积分
主题帖子积分
专家等级:结帖率:0%
主题帖子积分
初级技术员, 积分 55, 距离下一级还需 45 积分
初级技术员, 积分 55, 距离下一级还需 45 积分
菜鸟开始学习FPGA有一个时间了,自己买了个ALTERA的EP4CE6的低端开发板,根据教程学习了驱动外设和简单的组合逻辑编程,由于公司产品中使用的是ARM M3+周立功封装的ACTEL ProASIC3 A3P060芯片,用于驱动7''TFT显示,现在想买一块高端的开发板来学习,并用于后期公司现有产品的取代,希望各位前辈给出建议,只给芯片也行
21ic公开课,21ic网友共同的学习圈子!学单片机、嵌入式、模拟、电源……就看这里
移步更多21ic独家微课:
主题帖子积分
中级技术员, 积分 121, 距离下一级还需 179 积分
中级技术员, 积分 121, 距离下一级还需 179 积分
主题帖子积分
专家等级:结帖率:71%
主题帖子积分
中级技术员, 积分 121, 距离下一级还需 179 积分
中级技术员, 积分 121, 距离下一级还需 179 积分
本帖最后由 Nerd 于
16:58 编辑
EP4CE115F在高端领域用的也比较多
21ic公开课,21ic网友共同的学习圈子!学单片机、嵌入式、模拟、电源……就看这里
移步更多21ic独家微课:
技术新星奖章
人才类勋章
热门推荐 /3大家用的开发板从哪里买 - FPGA|CPLD|ASIC论坛 -
中国电子技术论坛 -
最好最受欢迎电子论坛!
后使用快捷导航没有帐号?
Hot [直播]
大家用的开发板从哪里买
17:08:52  
目前正在学fpga,看了verilog和ISE教程后想买块板子试下,想问下大家都从哪里买的开发板啊?都来说说吧。
高级工程师
19:24:43  
一般都是淘宝了。
19:27:28  
PCB在线计价下单
板子大小:
板子数量:
PCB 在线计价
淘宝很多,买特权那家的或者黑金的都可以
19:42:49  
推荐锆石A4, 全套视频教程
20:39:48  
淘宝网上有很多
高级工程师
21:10:45  
淘宝啊!挑选适合 的!!!
22:10:25  
淘宝上买过微雪电子的 一般
可以考虑买官方的板子
10:59:02  
基本上都是某宝,,,貌似论坛里也有锆石的FPGA开发板试用吧,,可以申请一个
13:39:43  
锆石的价钱最贵,不过他的资料什么的比较完整,视频呢做的也不错,资金充足可以考虑。
等待验证会员
18:29:29  
买了个二手至芯科技的,不过至芯的教程做的不好,初学入门很费劲。。。
等待验证会员
21:10:44  
我用的是锆石的,作为初学者的我,感觉很赞!
16:39:14  
有用过芯创的吗,怎么样
08:56:28  
本帖最后由 此账号已注册 于
08:58 编辑
小梅哥的板子也不错,不建议买黑金,资料看着是多,基本都不能用,而且,代码风格比较混乱。。。小梅哥的板子地址
/item.htm?spm=a230r.1.14.88.v2snZ6&id=&ns=1&abbucket=12#detail
08:58:49  
电子发烧友。。。开发板免费试用多好啊&&FPGA有的
等待验证会员
12:06:22  
买哪家的好啊& && && && && && && && && && && &&&
14:40:38  
至芯的板卡还可以
等待验证会员
14:39:05  
我是在淘宝买的,很多选择。
21个问题&&&&&&&&14301个浏览
21个问题&&&&&&&&24467个浏览
70个问题&&&&&&&&10617个浏览
Powered by请大家推荐一款FPGA的学习板,准备开学的时候跟着数电课一起学
在线时间11 小时
TA的帖子TA的资源
一粒金砂(初级), 积分 0, 距离下一级还需 5 积分
一粒金砂(初级), 积分 0, 距离下一级还需 5 积分
我用的de1,感觉很好啊
在线时间71 小时
TA的帖子TA的资源
一粒金砂(中级), 积分 10, 距离下一级还需 190 积分
一粒金砂(中级), 积分 10, 距离下一级还需 190 积分
表示好贵啊买不起啊~~不知道什么板子比较实惠适合学生用
在线时间465 小时
威望1810分
芯币1647枚
E金币725枚
TA的帖子TA的资源
纯净的硅(高级), 积分 1810, 距离下一级还需 190 积分
纯净的硅(高级), 积分 1810, 距离下一级还需 190 积分
你看这个是否合适你,如果合适,送你一个啊
你看这个是否合适你,如果合适,送你一个啊。
关键是要合适,新年快乐,学业进步
在线时间9313 小时
威望185521分
芯币17096枚
TA的帖子TA的资源
FPGA板块正在做EE系列开发板 楼主可以关注下
2017,加油!继续为中国电子行业做出小小的贡献吧!
在线时间71 小时
TA的帖子TA的资源
一粒金砂(中级), 积分 10, 距离下一级还需 190 积分
一粒金砂(中级), 积分 10, 距离下一级还需 190 积分
回复 4楼 fsyicheng 的帖子
谢谢你~已经看到过你的那个帖子了。 但是感觉你的板子上手不容易,而且还有很多工具要学。。也顺便请教你下怎么去学习fpga,有推荐的书籍或者学习方法嘛?
在线时间71 小时
TA的帖子TA的资源
一粒金砂(中级), 积分 10, 距离下一级还需 190 积分
一粒金砂(中级), 积分 10, 距离下一级还需 190 积分
回复 5楼 soso 的帖子
谢谢soso姐,正在关注EE系列的活动~~
在线时间490 小时
威望9087分
芯币12373枚
TA的帖子TA的资源
五彩晶圆(高级), 积分 9087, 距离下一级还需 913 积分
五彩晶圆(高级), 积分 9087, 距离下一级还需 913 积分
可以关注论坛的FPGA开发板活动,跟着大家一起学习!
一个为理想不懈前进的人,一个永不言败人!
欢迎光临网上店铺!
在线时间465 小时
威望1810分
芯币1647枚
E金币725枚
TA的帖子TA的资源
纯净的硅(高级), 积分 1810, 距离下一级还需 190 积分
纯净的硅(高级), 积分 1810, 距离下一级还需 190 积分
夏老师有很多关于FPGA的书籍都是十分优秀的,而且被很多高校选用,你可以参考一下,另外,以前很多前辈都说,编程的人可以多看一下别人的代码,不但可以学到技术,而且好的代码有好的思维和风格。
在线时间71 小时
TA的帖子TA的资源
一粒金砂(中级), 积分 10, 距离下一级还需 190 积分
一粒金砂(中级), 积分 10, 距离下一级还需 190 积分
回复 9楼 fsyicheng 的帖子
谢谢指教~~我是想先跟着数电课先熟悉FPGA,你觉得这样子可以嘛 学习的时候应该注意什么呢
在线时间231 小时
芯币2883枚
TA的帖子TA的资源
一粒金砂(高级), 积分 358, 距离下一级还需 142 积分
一粒金砂(高级), 积分 358, 距离下一级还需 142 积分
潘松的《EDA技术实用教程》不错,可以很快上手VHDL语言!~
在线时间71 小时
TA的帖子TA的资源
一粒金砂(中级), 积分 10, 距离下一级还需 190 积分
一粒金砂(中级), 积分 10, 距离下一级还需 190 积分
回复 11楼 larrybirdkobe 的帖子
我准备学习verilog语言 ,据说这个比较容易?
在线时间465 小时
威望1810分
芯币1647枚
E金币725枚
TA的帖子TA的资源
纯净的硅(高级), 积分 1810, 距离下一级还需 190 积分
纯净的硅(高级), 积分 1810, 距离下一级还需 190 积分
“我是想先跟着数电课先熟悉FPGA”,这个是必须的,加油!
在线时间11 小时
TA的帖子TA的资源
一粒金砂(初级), 积分 0, 距离下一级还需 5 积分
一粒金砂(初级), 积分 0, 距离下一级还需 5 积分
lz的情况和我其实差不多。个人感觉三大FPGA厂商的都有足够丰富的文档,就看你是否去学习了。
感觉fpga这个东西要多玩,才能提高,包括eda软件,多动才有收获。
至于什么档次的fpga,就完全看lz的需求了。
PS:我觉得qii也没有多好上手啊。。。残念。。。
在线时间71 小时
TA的帖子TA的资源
一粒金砂(中级), 积分 10, 距离下一级还需 190 积分
一粒金砂(中级), 积分 10, 距离下一级还需 190 积分
回复 13楼 fsyicheng 的帖子
哈哈~~谢谢谢谢
在线时间71 小时
TA的帖子TA的资源
一粒金砂(中级), 积分 10, 距离下一级还需 190 积分
一粒金砂(中级), 积分 10, 距离下一级还需 190 积分
回复 14楼 philbar 的帖子
能不能给我介绍介绍你的经验?
EEWORLD 官方微信
Powered by

我要回帖

 

随机推荐