求助关于quartus中的示波器 II 13.1中出现的一个问题

&记录&关于quartus&ii软件中注释乱码问题的解决方法
最近在看Verilog代码,由于我的quartus版本打开他们的文件注释会全部乱码,痛苦万分!从网上找了下原因,解决方法基本没有,不过看到有人提出是编码的问题,立马我就想到一个解决方法,经过实验果然有用,下面介绍给大家,希望对你们有用!
乱码现象:
解决办法:
打开文件所在工程找到该verilog文件(后缀名是.v),使用记事本打开,这时你会看到注释好好的没乱码,很高兴是不,不用着急。接下来点击文件再另存为,选择编码:UTF-8,点保存,这时会提示是否替换,点击是。这时再打开文件就不会再出现乱码了,赶快去试试吧!
成功解决:
实验quartus版本13.1web
edition.不过用10.1版本貌似解决不了。这个还有待研究。不过希望对你有用,如果没用的话,只能看txt了<img src="http://simg.sinajs.cn/blog7style/images/common/sg_trans.gif" real_src ="http://www.sinaimg.cn/uc/myshow/blog/misc/gif/E___6719EN00SIGG.gif" TYPE="face"
ALT="&记录&关于quartus&ii软件中注释乱码问题的解决方法"
TITLE="&记录&关于quartus&ii软件中注释乱码问题的解决方法" />
已投稿到:
以上网友发言只代表其个人观点,不代表新浪网的观点或立场。quartus ii 13.1破解_百度知道
quartus ii 13.1破解
跪求大神教我破解,网上那些破解方法折腾了下还是无法破解。有哪个大神会的帮帮忙,急!!
我有更好的答案
网上下载破解器这是13.0的,但是也可以用于13.1破解(这俩版本通用)。你可以试试:把破解包中的Bin下的俩个文件复制到你安装路径下的Bin下
(替换复制)
把破解包中的Bin64下的俩个文件复制到你安装路径下的Bin64下
(替换复制)然后取出网卡号与破解包中的 license.DAT里的网卡号#全部#替换,保存,然后在将破解包中的 license.DAT复制到:安装路径quartus下一份;Bin下一份;Bin64下一份
这样再打开软件查看是否破解,如果没有破解试着重复做一俩遍(尤其网卡号一定要替换保存)!
欢迎交流,
流浪文艺青年7
大神,这个方法我有试过,但是不知道那边操作错误,没办法破解好,希望大神帮帮忙好么?留下q,我加你行么?
我不是大神,
我q加你了,但是你还没收,请接受下
采纳率:71%
为您推荐:
其他类似问题
quartus的相关知识
&#xe675;换一换
回答问题,赢新手礼包&#xe6b9;
个人、企业类
违法有害信息,请在下方选择后提交
色情、暴力
我们会通过消息、邮箱等方式尽快将举报结果通知您。豆丁微信公众号
君,已阅读到文档的结尾了呢~~
FPGA 经典 100问之<设计实现 问>经典,实现,设计,问&,FPGA,100 问,CPLD
扫扫二维码,随身浏览文档
手机或平板扫扫即可继续访问
FPGA 经典 100问之<设计实现 问>
举报该文档为侵权文档。
举报该文档含有违规或不良信息。
反馈该文档无法正常浏览。
举报该文档为重复文档。
推荐理由:
将文档分享至:
分享完整地址
文档地址:
粘贴到BBS或博客
flash地址:
支持嵌入FLASH地址的网站使用
html代码:
&embed src='http://www.docin.com/DocinViewer-4.swf' width='100%' height='600' type=application/x-shockwave-flash ALLOWFULLSCREEN='true' ALLOWSCRIPTACCESS='always'&&/embed&
450px*300px480px*400px650px*490px
支持嵌入HTML代码的网站使用
您的内容已经提交成功
您所提交的内容需要审核后才能发布,请您等待!
3秒自动关闭窗口求助,用quartus ii 13.0波形仿真出现问题_百度知道
求助,用quartus ii 13.0波形仿真出现问题
我有更好的答案
看样子你是在quartus中仿真的,据说新版本的建议用专用仿真工具,如:modelsim,你的显示上好像出现了modelsim提示,建议安装或者在工程设置中修改之。
采纳率:96%
来自团队:
为您推荐:
其他类似问题
&#xe675;换一换
回答问题,赢新手礼包&#xe6b9;
个人、企业类
违法有害信息,请在下方选择后提交
色情、暴力
我们会通过消息、邮箱等方式尽快将举报结果通知您。quartus ii 13.1 nios2 generate的时候出了问题_百度知道
quartus ii 13.1 nios2 generate的时候出了问题
Error: vic_csr: File D:/quartus/ip/altera/altera_vectored_interrupt_controller/csr/altera_vic_csr.sv written by generation callback did not contain a module called altera_vic_csr
Error: Generation stopped, 60 or more modules remaining
我有更好的答案
第一是缺少一个叫altera_vic_csr的文件然后是有多余模块我估计是你装过其他版本的,然后没卸干净
采纳率:43%
为您推荐:
其他类似问题
quartus的相关知识
&#xe675;换一换
回答问题,赢新手礼包&#xe6b9;
个人、企业类
违法有害信息,请在下方选择后提交
色情、暴力
我们会通过消息、邮箱等方式尽快将举报结果通知您。

我要回帖

更多关于 quartus ii 15.0破解 的文章

 

随机推荐