半导体怎样演变成数字电路设计

&&&&数字电路与系统(第2版)
自营订单满49元(含)免运费
不足金额订单收取运费5元起
邀请好友参加吧
版 次:1页 数:字 数:印刷时间:日开 本:16开纸 张:胶版纸包 装:平装是否套装:否国际标准书号ISBN:4所属分类:&&&
下载免费当当读书APP
品味海量优质电子书,尊享优雅的阅读体验,只差手机下载一个当当读书APP
本商品暂无详情。
当当价:为商品的销售价,具体的成交价可能因会员使用优惠券、积分等发生变化,最终以订单结算页价格为准。
划线价:划线价格可能是图书封底定价、商品吊牌价、品牌专柜价或由品牌供应商提供的正品零售价(如厂商指导价、建议零售价等)或该商品曾经展示过的销售价等,由于地区、时间的差异化和市场行情波动,商品吊牌价、品牌专柜价等可能会与您购物时展示的不一致,该价格仅供您参考。
折扣:折扣指在划线价(图书定价、商品吊牌价、品牌专柜价、厂商指导价等)某一价格基础上计算出的优惠比例或优惠金额。如有疑问,您可在购买前联系客服咨询。
异常问题:如您发现活动商品销售价或促销信息有异常,请立即联系我们补正,以便您能顺利购物。
当当购物客户端手机端1元秒
当当读书客户端万本电子书免费读当前位置: >>
数字电路全部课件
考试时间十一周(具体等教务通知)(100分钟)考试地点 考试题型 半开卷考试具体等教务通知 简答题、计算题、设计题 允许带矿大信纸一张,蓝色 圆珠笔书写任意想要写的重点, 考试结束时上交,算作平时成绩 的一部分。书上例题、作业、实验1 第二章逻辑代数基础概念: ⒈ ⒉ ⒊ ⒋ 基本逻辑关系; 逻辑函数的几种表示方法; 最小项及标准式; 无关项。函数化简:公式法和卡诺图法。要求: ⒈ 基本概念; ⒉ 两种化简方法。2 第三章逻辑门电路概念: ⒈ 基础门;⒉ 集成门功能及电气特性及相应参数; ⒊ 特殊门的特点及应用。主要参数: VON,VOFF,VOH,VOL,RON,ROFF,IIS,IIHNo,tpd,集成门使用接口: 要求: ⒈ 概念;⒉ 接口应用; ⒊ 特殊门及应用; ⒋ 波形图。3输入、输出特性; 输入负载特性; 传输特性。 第四章组合逻辑电路概念: ⒈ 组合电路特点;⒉ 半加与全加、编码、译码、选择、比较; ⒊ 竞争与险象。组合电路的分析与设计方法:⒈ SSI――一般分析设计方法~由门实现; ⒉ MSI――真值表、表达式及变换为相应(逻辑部件)的形式。集成组合电路的应用: 注意使能端(控制端)的正确使用: 要求: ⒈ 概念;⒉ 分析设计方法; ⒊ 集成电路应用;4 0.2.2 模拟电路 模拟信号: 时间上连续:任意时刻有一个相对的值。 数值上连续:可以是在一定范围内的任意值。 例如:电压、电流、温度、声音等。 真实的世界是模拟的。 缺点:很难度量;容易受噪声 的干扰;难以保存。 优点:用精确的值表示事物。 模拟电路:处理和传输模拟信 号的电路。三极管工作在线性放大区。5 0.2.3 数字电路数字信号:时间上离散:只在某些时刻有定义。数值上离散:变量只能是有限集合的一个值, 常用0、1二进制数表示。6 数字信号取值: 数字信号位数:0和1两种。 即用二进制表示。1位二进制表示 2 种状态; n位二进制表示 2n种状态,取2n ≥N 灯的开关--2种取值―――1位二进制数 人的性别--2种取值―――1位 学生的籍贯--32种取值―――5位0和1不表示 数值的大小, 没有数值的 概念,仅表 示两种截然 不同的逻辑 状态例:学生的民族--56种取值―――6位 (26 = 64 ≥56) 东西南北方位--4种取值―――2位 产品的计数--N种取值――― n位,2n≥N7 数字电路:处理和传输数字信号的电路。即能对数字信号进行 算术运算和逻辑运算。三极管工作在开关状态,即饱和区或截止区。算术运算--对两个(及以上)数字信号进行加、减、 乘、除的算术加工。 逻辑运算--对数字信号进行与、或、非及其它逻辑关系的加工处理。单元电路:门电路、触发器 由单元电路 构成逻辑部件逻辑设计: 把单元电路和逻辑部件组成系统,根据确定的 功能要求,设计出相应的数字电路。8 0.2.4. 数字电路特点(与模拟电路相比)(1)数字电路的基本工作信号是用1和0表示的 二进制的数字信号,反映在电路上就是高电平和低 电平。(2)晶体管处于开关工作状态,抗干扰能力强、 精度高。 (3)通用性强。结构简单、容易制造,便于集 成及系列化生产。(4)具有“逻辑思维”能力。数字电路能对输 入的数字信号进行各种算术运算和逻辑运算、逻辑 判断,故又称为数字逻辑电路。9 0.2.5. 数字电路的分类 (1)按功能分类 组合逻辑电路:电路的输出信号只与当时的输入信号 有关,而与电路原来的状态无关。例:表决器 时序逻辑电路:电路的输出信号不仅与当时的输入信 号有关,而且还与电路原来的状态有关。例:计数器 (2)按结构分类 TTL 双极型(BJT) CMOS 单极型(FET)10 (3)按集成电路规模分类集成度:每块集成电路芯片中包含的元器件数目 ?小规模集成电路(Small Scale IC,SSI) 10个门 10 ~100个元件 ?中规模集成电路(Medium Scale IC,MSI) 10 ~100个门 100 ~1000个元件 ?大规模集成电路(Large Scale IC,LSI) 100 ~1000个门 1000 ~10000个元件 ?超大规模集成电路(Very Large Scale IC,VLSI) >1000个门 > 10000个元件 ?特大规模集成电路(Ultra Large Scale IC,ULSI) ?巨大规模集成电路(Gigantic Scale IC,GSI)11 0.2.6. 当前数字电路设计的趋势? 越来越大的设计? 越来越短的推向市场的时间(例如家电)? 越来越低的价格(例如家电)? 大量使用计算机辅助设计工具(EDA技术)? 多层次的设计表述? 大量使用复用技术IP(Intellectual Property)12 集成电路的发展从20世纪60年代以来数字集成电路经历了SSI 、MSI到LSI 、VLSI的发展 过程,70 年代初1K位存储器标志LSI问世后,微电子技术得到迅猛发展。 标志性的芯片主要有三类: 一类是CPU的发展.自从晶体管级的CPU问世以来,其集成度几乎1-2年翻 一倍,性能提高一个数量级,例如:年出现的Intel (4位),其集成度为2000晶体管,1976年生产的8085(8位),集成度 为9000晶体管/片;而1980年生产的Iapx43201(32位),集成度为100000 晶体管/片,目前奔腾芯片的集成度都达到几百万甚至上千万个晶体管。 工业用品的单片机也得到迅猛的发展,随着超大规模集成电路的发展, 单片机已从4位、8位字长,发展到16位、32位字长。另一类具有代表性的是专用ASIC的发展.由于EDA技术的发展,改变了传 统的设计方式加之制造工艺水平的不断提高,ASIC以其适应面广,体积 小,功耗低,而且具有高性能、高可靠性和高保密性等优点得到广大芯片设计者的青睐。13 集成电路的发展第三类典型的芯片是可编程器件.包括数字可编程器件和模拟 可编程器件。从20世纪70年代出现熔丝编程的PROM和PLA, 数字可编程器件获得飞速发展,20世纪70年代末AMD公司 在PLA的基础上推出PAL,80年代初期Lattice公司发明电可 擦写的GAL器件。80年代中期Xilinx公司提出现场可编程的 概念,于1985生产了世界上第一片FPGA器件。同期Altera公 司推出了EPLD器件(Erasable Programmable Logic Device)。 80年代末期Lattice公司提出了在系统可编程技术以后,相继 推出一系列具备在系统可编程能力的复杂可编程逻辑器件 (CPLD-Complex PLD)。CPLD是在EPLD基础上发展起来 的,它采用E2CMOS工艺制作,增加了内部连线,改进了内 部结构体系,因而比EPLD的性能更好,设计也更加灵活。14 集成电路的发展专用集成电路(ASIC-Application Specific Integrated Circuit)是为满足某一应用领域 或特定用户需要而设计、制造的LSI或VLSI电路,可以将特定的电路或一个应用系 统设计在一个芯片上,构成单片应用系统(SOC)。ASIC可分为模拟ASIC和数字 ASIC,数字ASIC又可以分为全定制和半定制两种。 全定制ASIC芯片的各层(掩膜)都是按特定电路功能专门制造的。设计人员从晶体 管级的版图尺寸、位置和互连线开始设计,以达到芯片面积利用率高、速度快、功 耗低的最优性能。但全定制的ASIC制作费用高,周期长,适用于批量较大的产品。 半定制是一种约束性设计方式。约束的目的是简化设计、缩短设计周期以及提高芯 片的成品率。半定制的ASIC主要有门阵列、标准单元和可编程逻辑器件三种。 门阵列:包括门电路、触发器等并留有布线区供设计人员连线,用户根据需要设计 电路,确定连线方式,交生产厂家布线。 标准单元:设计人员使用厂家提供的标准单元,利用CAD(或EDA)工具完成版图 级的设计。与门阵列比较其设计灵活,功能强,但设计周期长,费用高。 可编程逻辑器件:设计人员用厂家提供的通用型半定制器件(PLD),借助特定的 EDA软件进行设计,经过综合适配后形成特定的二进制文件(bitstream file),然后 通过烧写器将文件写入芯片中,或通过ISP(In System Program)的方式下载到芯片 中即可。用户通过可配置的逻辑器件进行电路设计,其特点成本低、设计周期短、可 靠性高、承担的风险小。15 0.3 本课程讲授内容? ? ?? ? ? ? ? ? ?绪 论 第一章 数制与编码: “数”在计算机中怎样表示。 ★ 第二章 逻辑代数基础: 逻辑代数的基本概念、逻辑函数 及其标准形式、逻辑函数的化简。★★ 第三章 逻辑门电路。★★ 第四章 组合逻辑电路的分析与设计。 ★★★ 第五章 触发器及其应用。 ★★ 第六章 第七章 第八章 第十章 时序逻辑电路的分析与设计。 ★★★ 脉冲电路。 ★★ 半导体存储器RAM 。★ 模/数(A/D)与数/模(D/A)转换。 ★★16 0.6 成绩评定理论80% 包括:平时30 %和考试: 70 %实验20%包括:操作60 %和报告: 40 %0.7 参考书?? ? ? ? ? ?《数字电路逻辑设计》第三版 王毓银 高教出版社 《数字电子技术》第四版 阎石 高教出版社 《数字设计引论》 沈嗣昌 高教出版社 《电子系统设计》何小艇等 浙江大学出版社 《数字电路与系统设计》邓元庆 西安电子科大出版社 《数字电路》龚之春 电子科技大学出版社(成都) 习题集、专科教材、相关杂志17 第一章学习要求:? ?熟练掌握各进位计数制间的相互转换。 熟练掌握一个数原码、反码、补码的表示,以及原码、 反码、补码的算术运算。?掌握8421BCD码、余3码、格雷码、奇偶校验码的特 点。18 第一章 数制与编码§1 §2 §3 §4 进位计数制 数制转换 带符号数的代码表示 常用的一般编码19 §1数进位计数制制: 计数体制、计数方法。数码的个数 和计数规律 是进位计数 制的两个决 定因素进位计数制: 高位进位,本位归0。一、 十进制数的表示⒈ 数码个数:10个。0,1,2,3,4,5,6,7,8,9计数规律:逢十进 1,借一当1020 ⒉ 计数法? 位置计数法例:123.45读作 一百二十三点四五? 按权展开式 例:123.45=1?102+2?101+3?100+4?10-1+5?10-2 ? 按权展开通式(N)10 = an-1?10n-1+an-2?10n-2 +…+ a1?101+a0?100+a-1 ? 10-1+a-2?10-2+…+a-m?10-m ? 和式( N )10 ?i ??m?n ?1ai ? 10i21 ⒊ 基与基数用来表示数的数码的集合称为基(0~9), 称为基数(十进制为10)。 集合的大小即表示某种进位计数制所具有的数字符号的个数称为基 数,也叫模。⒋ 权在十进制中,10的整幂次方称为10进制数的权。即表示某种进位计数制不同位置上数字的单位值, 位置不同表示的数值大小不同。例:123.45=1?102+2?101+3?100+4?10-1+5?10-2数的位置不同, 权值不同。22 二、 其它进制其它进制的计数规律可看成是十进制计数制的推广, 对任意进制 R,数N可以表示成按权展开式:(N) R=(an-1 an-2 … a1 a0. a-1 a-2… a-m)R (N)R = an-1?R n-1+an-2?R n-2 +…+ a1?R1+a0?R0 +a-1 ? R-1+a-2?R-2+…+a-m?R-m?i ??m?n ?1ai ? Ri23 ⒈ R=2 二进制数码个数2个: 0,1 计数规律:例:逢二进 1,借一当 2权值一般 用十进制 表示( = 1?24+1?23 +0?22+1?21+1?20 +0?2-1 +1?2-2=1?(10)100+1?(10)11 +0?(10)10+1?(10)1+1 ?(10)0+ 0?(10)-1 +1?(10)-1024 二进制数的特点:? 只有两个数码, 很容易用物理器件来实现。? 运算规则简单。? 可使用逻辑代数这一数学工具。? 节省设备例:如需表示数字0~999,共有1000个信息量。十进制:用3位,每位10个数字,共需30个数字设备。二进制:用10位,每位2个数字,共需20个数字设备。25 ⒉ R=8 八进制数码个数8个: 计数规律:0,1,2,3,4,5,6,7逢八进 1,借一当 8例:(176.5)8 = 1?82+7?81 +6?80 +5?8-1 =1?(10)2+7?(10)1 +6 ?(10)0+5?(10)-126 ⒊ R=16 十六进制数码个数16个: 计数规律:0,1,2,3,4,5,6,7,8,9,A,B,C,D,E,F(0 ……… 10 …… 15)例:逢十六进 1,借一当 16(FA1.C)16 = F?162+A?161 +1?160 +C?16-1 =F?(10)2+A?(10)1 +1 ?(10)0+C?(10)-1⒋ 其它进制如六进制、十二进制、二十四进制、六十进制等。 书P5 表1.1.1所列各进制对应值要求熟记。27 几种常用数制的 表示方法(P5)R=10 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16二进制 0 1 10 11 100 101 110 111 10 01 000八进制 0 1 2 3 4 5 6 7 10 11 12 13 14 15 16 17 20十六进制 0 1 2 3 4 5 6 7 8 9 A B C D E F 1028 §2数制转换说明: ⒈ 转换是任意的。 ⒉ 方法:多项式替代法 基数乘除法 混合法 直接转换法α→10 10→ α α → 10→ β α= βK , α K = β29 一、多项式替代法 (R→10)规则:按权展开,相加求和 例1: ( = ( )10=1?24+1?23 +0?22+1?21+1?20 +1?2-1 +1?2-2 =(27.75)10 16 8 0 2 1 0.5 0.25 例 2: (321.4)8 = ( )10=3?82+2?81+1?80 +4?8-1 =(209.5)10 192 16 1 0.530 二、基数乘除法( 10 → R )⒈整数的转换――基数除法规则:除基取余, 商零为止22 225 12 6 3? ?余 1 ? ?余 0? ?余 0低位例 1:(25) 10 = ( )22 2? ?余 1? ?余 1高位解:10 ∴ (25)10=(11001)231 二、基数乘除法( 10 → R )⒈整数的转换――基数除法规则:除基取余, 商零为止低位1616) 1654 3 0? ?余 6 ? ?余 3例 2:(54) 10 = (高位解:∴ (54)10=(36)1632 ⒉小数的转换――基数乘法规则:乘基取整,满足精度要求为止。例 3: (0.125) 10 = ()2高位∴(0.125) 10 = (0.001 ) 2低位0.125 × 2 0. 25 × 2 0.5 × 2 1.033 ⒉小数的转换――基数乘法规则:乘基取整,满足精度要求为止。例 4: (0.125) 10 = ()4高位低位0.125 × 4 0. 5 × 4 2.0∴(0.125) 10 = (0.02 ) 434 ⒉小数的转换――基数乘法例 5: (29.93) 10 = ( ) 2 ?余 1 29 2低位高位22 2 214 7 3 1?余 0 ?余 1 ?余 1 ?余 1高位 低位0 ∴ (29.93)10=()20.93 × 2 1. 8 6 × 2 1. 7 2 × 2 1. 4 4 × 2 0. 8 8 × 2 1. 7 6?35 ⒊ 小数的精度若求出的是有限位小数,表明已求出准确的转换小数; 若求出的是无限位小数,表明转换出的小数存在误差。 取数原则: ⑴等精度转换;⑵按题意要求⑴等精度转换设α进制有 i位小数,转换后β进制有 j位小数。 (0.0…01)α= (1×α-i)10 i位 (0.01)2= (1×2-2)10 (0.0…01)β = (1×β-j)10 j位 (0.1)4= (1×4-1)1036 ⑴等精度转换(续)转换后应使: 1×β-j ≤1×α-i 即 α i ≤ βj故lg ? j?i lg ?取满足不等式的最小整数 )16 ,已知精度为±(0.1) 410例: (0.3021)10→(解: α=10,β=16,i=4lg10 j? 4 ? 3.32 取 j=4 lg1637 ⑵按题意要求例: (0.3021)10→( 解:)2 ,要求精度 0.1% ∴取 j=101 1 0.1% ? ? 10 1000 2例: (0.3021)10→()8 ,要求精度 0.01%解:1 1 0.01% ? ? 5 10000 8∴取 j=538 三、混合法 (α → 10→ β)(N)α → → → →多项式替代法(N)10 → → → → (N)β基数乘除法例: (2022)3→(解:)8(?33 +0?32+2?31+2?30 = (62)10= (76)839 四、直接转换法(α=βK ,α K =β)一般在二、八、十六进制之间转换⒈ 八进制与二进制之间的转换:不 足 补 0从小数点开始 3位一组不足补0()B=(010 011 100 101 101 001 000.010)B = ( 23455102 )O=()O40 ⒉ 十六进制与二进制之间的转换:从小数点开始 4位一组()B=(11 00)B =不足补0( 9CB484 )H=( 9CB48.4 ) H41 反之:(345.7)O =()B1位八进制对应 3位二进制(345.7)O =(011 100 101.111 ) B (27B.7C)H =( ) B (27B.7C)H =(11. ) B =(10 11 11 ) B0可去掉421位十六进制 对应4位二进制 §3带符号数的代码表示一、符号数⒈真值:在数值前加“+”号表示正数; 在数值前加“-”号表示负数。 ⒉机器数:把符号数值化的表示方法称~。 用“0”表示正数,用“1”表示负数。 例: 真值 机器数 +9 + -9 -符号位43 常用的机器数有:原码、反码、补码 其符号位规则相同,数值部分的表示形式有差异。二、原码⒈ 组成: 符号位+数值位 正→0 不变 负→1例:X1=+1101 X2=-1101 [X1]原=01101 [X2]原=11101⒉ 特点: ⑴直观易辨认; ⑵有2个0; ⑶符号不参与运算;?00000 [?0]原=? ?10000⑷数值范围 -(2^(n-1)-1)~+(2^(n-1)-1)44 三、反码例:X1=+1101 X2=-1101 [X1]反=01101 [X2]反=10010⒈ 组成:符号位+数值位正→0 负→1⒉ 特点:不变 取反⑴正数的反码同原码, X1=-1101 [X1]反=10010 负数的反码数值按位取反; [[X1]反]反= 11101= [X1]原 ⑵有2个0; ⑶反码的反码为原码; ⑷数值范围 -(2^(n-1)-1)~+(2^(n-1)-1)45?00000 [?0]反=? ?11111 ⒉ 特点(续)⑸两数和的反码等于两数反码之和; ⑹符号位参与运算,有进位时循环相加。循环相 加例:已知 X1=10 求 Y1= X1- X2 ; Y2= X2- X10 100001 + 1 00010解: [X1]反=01100 , [-X1]反=10011,[X2]反=01010 , [-X2]反=101010 11101[Y1]反= [X1]反+ [-X2]反= 00010 → Y1=+0010 [Y2]反= [X2]反+ [-X1]反= 11101 → Y2=-001046 四、补码例:符号位+数值位 X1=+1101 [X1]补=01101 正→0 不变 X2=-1101 [X2]补=10011 负→1 取反+1 ?00000 [?0]补=? ?11111 ? 1 ? 00000⒈ 组成:⒉ 特点:⑴正数的补码同原码, 负数的补码数值按位取反+1; X1=-1101 [X1]补=10011 ⑵只有1个0; [[X1]补]补= 11101= [X1]原 ⑶补码的补码为原码; ⑷数值范围 -2^(n-1)~+(2^(n-1)-1),47 补码的计算和引进补码的原因:数值有正负之分,计算机就用一个数的最高位存放符号(0为正,1为负). 这就是机器数的原码了.假设机器能处理的位数为8.即字长为1byte, 原码能表示数值的范围为(-127~-0 +0~127)共256个. 有了数值的表示方法就可以对数进行算术运算. 但是很快就发现用带符号位的原码进行乘除运算时结果正确, 而在加减运算的时候就出现了问题,如下: 假设字长为8bits?( 1 ) 10- ( 1 )10 = ( 1 )10 + ( -1 )10 = ( 0 )10 ()原 + ()原 = ()原 = ( -2 ) 显然不正 确.?48 因为在两个整数的加法运算中是没有问题的,于是就发现问题出现在 带符号位的负数身上,对除符号位外的其余各位逐位取反就产生了 反码.反码的取值空间和原码相同且一一对应. 下面是反码的减法运算: ( 1 )10 - ( 1 ) 10= ( 1 ) 10+ ( -1 ) 10= ( 0 )10() 反+ ()反 = ()反 = ( -0 ) 有问题.( 1 )10 - ( 2)10 = ( 1 )10 + ( -2 )10 = ( -1 )10() 反+ ()反 = ()反 = ( -1 ) 正确问题出现在(+0)和(-0)上,在人们的计算概念中零是没有正负之分的.49 于是就引入了补码概念. 负数的补码就是对反码加一,而 正数不变,正数的原码反码补码是一样的. 在补码中用(-128)代替了(-0),所以补码的表示范围 为:(-128~0~127)共256个.已知某数的补码, 先求某数的反码,然后在对反码+1,就得到某数的原码. 比如: 已知某个数的补码是: 先对求反,得: 再对,得:
那么这个数为-8650 ⒉ 特点(续)⑸两数和的补码等于两数补码之和; ⑹符号位参与运算,有进位时丢弃。进位丢 弃例:已知 X1=10 求 Y1= X1- X2 ; Y2= X2- X10 100010解: [X1]补=01100 , [-X1]补=10100,[X2]补=01010, [-X2]补=101100 11110[Y1]补= [X1]补+ [-X2]补= 00010 → Y1=+0010[Y2]补= [X2]补+ [-X1]补= 11110 → Y2=-001051 补码的补充说明:1.概念模:计数容量。例:计算机的字长为L,模数为2L。丢弃1 0 0 1 + 1 0 0 0 1 0 0 0 19 8 17在模16的系统中, 17(mod16) =1 (mod16)同余: 在某一模数系统中,模数为N,如果a、b的余数相同,则称a、b模N同余。例:17和33在模16系统中同余1。 同余的两数,在同一模数系统中值相等,即为余数。52 2.补码的应用:变减为加例:钟表为模12的系统。? ?? ? ● 3 9 ?? 6 ? ?12由12点拨到3点:顺时针:+;逆时针:1)12+3=15,15(mod12) =3 2) 12-9=3 ,3(mod12) =3则:[12-9](mod12)=[12+3](mod12)=3即减9等于加3,在 mod12系统中3是-9的补码(仅考虑数值位), 所以利用补码特点可把减法变成加法运算。一般而言:⑴在模N的系统中,数L与N - L是一对互补的数。 ? [L]补数=N+L ; -(N-1) L & 0 ⑵当L为负数时,特例情况:如N=2n ,即在二进制中,负数L补码的数值为 [L]补= 2n+L,求取形式上可归纳为:取反加1。53 §4常用的一般编码现实生活中,对事物进行编码的示例很多,如: 学号、身份证号、电话号码、房间号、汽车牌号等 等。主要以十进制数为主,也有字母和文字。 在数字系统里,往往也需要对被控对象进行编 码,或者对传递的信息进行编码。数字系统中的编 码以二进制数形式出现,常用的编码有:一、二~十进制编码 二、可靠性编码54 一、二~十进制编码BCD码------Binary-Coded-Decimal用四位二进制数表示一位十进制数码(0~9), 称为BCD码 。 四位二进制有16种不同的组合,任意取其中的10 中组合来代表数码0~9,即形成一种BCD码,不同 的组合便形成了各种各样的BCD编码。 BCD码主要有: 8421码、 5421码、2421码、余3 码等。55 二进制数 自然码 8421码 2421码 5421码 余三码10 01 00 11 10
2 3 4 5 6 7 8 9 10 11 12 13 14 15 0 1 2 3 4 5 6 7 8 9前100 1 2 3 4前 后 各50 1 2 3 4个 码个 码5 6 7 8 95 6 7 8 90 1 2 3 4 5 6 7 8 9中 间10个 码56 ⒈ 8421 BCD码简称8421码。按4位二进制数的自然顺二进制数 8421码序,取前十个数依次表示十进制的0~9,后6个数不允许出现,若出现则认为是非法的或错误的。8421码是一种有权码,每位有固定的 权,从高到低依次为8, 4, 2, 1,如 8421码: (BCD =0?8+1?4+1?2+1?1=710 01 00 11 10 11110 1 2 3 4 5 6 7 8 957 8421码的特点:⑴有权码,从左到右为 8 4 2 1;⑵与自然二进制数排列一至, 为冗余码; ⑶ 8421码与十进制的转换关系为直接转换关系 例:(10 BCD=(13.64)10⑷运算时按逢10进1的原则,并且要进行调整。调整原则: 有进位或出现冗余码时:加+6调整。58 8421码运算举例:例: 8+9=17 1000 +) 1 0 0 1 有进位+6 10001 +) 0 1 1 0 0111 例: 7+6=13 0111 +) 0 1 1 0 1101 冗余码+6 +) 0 1 1 0 丢弃 1001159 ⒉ 2421 BCD码简称2421码。典型2421码按4位二进制 数的自然顺序,取前后各5个数依次表示十 进制的0~9,其余6个数不允许出现,若出 现则认为是非法的或错误的。这只是2421码 的一种编码方案。 2421码是一种有权码,每位有固定的权, 从高到低依次为2, 4, 2, 1,如 : 2421码 ( =0?2+1?4+0?2+0?1=4 2421码 ( =1?2+1?4+1?2+0?1=8二进制数 2421码10 01 00 11 10 11110 1 2 3 45 6 7 8 960 2421码的编码方案:代码 0 1 2 3 4 5 6 7 8 方案1 10 11 10 方案2 00 01 10特点: 对 九 自 补91111111161 ⒊ 余3码数码余三码10 01 00 11 10 1111由8421码加3形成。1)是一种无权码。2)有六个冗余码。 (10 11)、 、 、 、 、3)对9的自补码。例:(4)余3码=0111; (5)余3码 =1000(0111)9补=1000 即0111按位取反。4)相加运算时:如果没有进位, 则和数要减3,否则和数要加3。0 1 2 3 4 5 6 7 8 9中 间10个 码62 余3码运算:例如:(0100)余3+(0110)余3 = (0111)余3(1000)余3 +(1001)余3 = (0100)余3无进 位减30 +) 0 1 -) 01 1 0 00 1 1 10 0 0 11 +) 1 1 0 +) 00 0 0 00 0 0 10 1 1 1有进 位加30 1 1 1丢弃1 0 1 0 063 例2:用余3码运算:(05)10+(08) 10 =?解:(05)10+(08) 10= ()余3 +()余3= ()余3 = (13) 10十位运算 个位运算 + 1 0111 - 无进位-31000 +
+ 0011有进 位+3011064 二进制数 自然码 8421码 2421码 5421码 余三码10 01 00 11 10
2 3 4 5 6 7 8 9 10 11 12 13 14 15 0 1 2 3 4 5 6 7 8 9前100 1 2 3 4前 后 各50 1 2 3 4个 码个 码5 6 7 8 95 6 7 8 90 1 2 3 4 5 6 7 8 9中 间10个 码65 二、可靠性编码能减少错误,发现错误,甚至纠正错误的编码 称 为可靠性编码。编码本身不易出错→格雷码 纠错的三个层次 出错能检查出来→奇偶校验码 检查并能纠错→汉明码纠错是以增加硬件为代价的66 ⒈ 格雷码在一组数的编码中,如果任意相邻的代码只有一位二进制数不同,即为格雷码。典型二进制格雷码由自然二进制码转换而得, 其编码规则为:Gn ?1 ? Bn?1 Gi ? Bi ? Bi ?1例:13的格雷码: 1 ( 1 0 1)B =(1 0 1 1 )G? ? ?1 0 1 167 格雷码的特点:十进制 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15二进制 10 01 00 11GREY1 11 11 00 10⒈ 汉明距离=1 例: ⒉ 循环特性n一定时最大数的第n位为1,其。 ⒊ 具有反射特性余各位为0 第n位为反射位,以第n位的0、 1交界处为轴上下对称。⒋一个n位的格雷码,可由n-1位格雷码产生。 方法:在n-1位码前加0,再 作对称镜像。11001110100068 十进制 0二进制GREY1GREY2000011 0100000010 0110000010 011012 3 4 5反射010100 1001011100 1101111001 100067 8 9 10 11 12循环1010101111111110110011101000691314 15 典型二进制格雷码转换成二进制数的方法:Bn ?1 ? Gn ?1 Bi ? Bi ?1 ? Gi例:7的典型格雷码为 ?0?0)G =(0 1 1 1 )B?011170 补充:步进码 符合格雷码中 汉明距离=1的特点。十进制 0二进制步进码000011 010000000 12 3 4 5010100 100111111 67 8 9 10 11 1210101011110011711314 15 步进码的形成:例:由7的步进码:11100 ; 产生8的步进码:11000 左移一位“7”步进码1111110000取反0“8”步进码1100072 ⒉ 奇偶校验码⑴组成: 信息位+校验位(1位)=奇偶校验码1的个数为奇数→奇校验码 码中: 1的个数为偶数→偶校验码由信息位和校验位(冗余部分)两部分组成。校验位的取值可使整个校验码中的1的个数按事先的规完成为奇数或偶数。73 ⑵ 简单的奇偶校验码:以8421BCD码为例奇校验位:P=B8 ? B4 ?B2?B1 ? 1数 码 0信息位 8421BCD 0000校验位 奇 1 偶 0奇校验码偶校验码000010000012 3 400010000 1 011 0 100010001000001100101…………偶校验位:P=B8 ? B4 ?B2?B156 7 801010011 0 000 1 110000100019100110100111001074 ⑶ 检错只能检出单个错误或奇数个错,但不能纠错。 奇校验:P’=1 正 P’=B8 B? B2 B1 ? 4 ? ? 确 P 偶校验:P’=0 正 例: 奇校验传送 1001: 确 解: 校验位 P=1, 奇校验码为:10011 正确传送时:? 4 ? ? P’=B8 B? B2 B1 P ? 4 ? ? P’=B8 B? B2 B1 P校验:=1? 0 ? 0 ? 1 ? 1=1不正确传送时:设接收码为10111=1? 0 ? 1 ? 1 ? 1=0出错75 作业:P23 1-1(1), 1 - 2(1),1 -3(1),1 -4(1),1 -5(1) 1 C13, 1 C16 (1) (3) 思考题 1-976 节省设备的说明:1)设n是数的位数 R是基数Rn― 最大信息量nR― Rn个数码所需设备量 例:n=3,R=10,(R)10n=103=1000 nR=3×10=30R=2时,为使 2n≥1000 n=10 ( Rn=1024),nR=10×2=20同样为1000的信息量,二进制比十进制节省设备。 2)唯一性证明N=Rn (N为最大信息量) LnN=nLnR 令C=LnN C=nLnR两边同乘R,RC=nRLnR 可求得:R=e=2.71877 第二章 逻辑代数基础主要内容 ⒈ 基本逻辑运算 ⒉ 逻辑代数的基本公式和规则 ⒊ 逻辑函数的化简78 几个基本概念⒈ 逻辑: 指事物的规律性和因果关系。 ⒉ 逻辑学: 研究思维的形式和规律的科学。 ⒊ 逻辑代数: 逻辑学中的数学分支。在电子领域用二值变量进行描述,称布尔代数,统称逻辑代数。 完全对立、截然相反的二种状态,如:好坏、 ⒌ 逻辑变量: 美丑、真假、有无、高低、开关等。 代表逻辑状态的符号,取值 0 和 1。⒋ 逻辑状态:⒍ 逻辑函数: ⒎ 逻辑电路:输出是输入条件的函数,有一定的因果关系。 电路的输入和输出具有一定的逻辑关系。79 §1基本逻辑运算一、“与”运算(逻辑乘)⒈ 定义: 决定一个事情发生的多个条件都具备, 事情就发生,这种逻辑关系叫“与”逻辑。例1:打开有两把锁的自行车。 打开有两个串联开关的灯。 楼道里自动感应灯。例2:例3:80 ⒉ 真值表全部输入条件的所有组合 与输出的关系。例3: 打开有两个串联开关的灯。设开关为A、B,合上为1,断开 为0;灯为F,灯亮为1,灭为0+u 真值表 A B 0 0 0 1 1 0 1 1 F 0 0 0 1ABF由“与”运算的真值表可知 “与”运算法则为: 有0出 0?0=0 1?0=0 0 0?1=0 1?1=1 全1出 1 81 ⒊ 表达式逻辑代数中“与”逻辑关系用“与”运 算描述。“与”运算又称逻辑乘,其运算符 为“?”或“?”。两变量的“与”运算可表 示为: F=A ? B 或者 F=A?B 简写为:F=AB 读作:F等于A与B82 二、“或”运算(逻辑加)⒈ 定义: 决定一个事情发生的多个条件中,有一个或 以上的条件具备,事情就发生,这种逻辑关 系叫“或”逻辑。 例: 打开有两个并联开关的灯。A+uBF83 ⒉ 真值表例: 打开有两个并联开关的灯。设开关为A、B,合上为1,断开 为0;灯为F,灯亮为1,灭为0真值表 A B 0 0 0 1 1 0 1 1 F 0 1 1 1由“或”运算的真值表可知 “或”运算法则为: 有1出 1 全0出 00+0 = 0 1+0 = 1 0+1 = 1 1+1 = 184 ⒊ 表达式逻辑代数中“或”逻辑关系用“或”运算 描述。“或”运算又称逻辑加,其运算符为 “+”或“? ”。两变量的“或”运算可表示 为: F=A+B 或者 F=A ? B 读作:F 等于 A 或 B85 三、“非”运算(逻辑非)⒈ 定义: 某一事情的发生,取决于对另一事情的否 定,这种逻辑关系叫“非”逻辑。例: 如下电路中灯的亮灭。+u K F86 ⒉ 真值表例: 打开上例电路中的灯。设开关为k,合上为1,断开为0;灯为F,灯亮为1,灭为0真值表 K 0 1 F 1 0由“非”运算的真值表可知 “非”运算法则为:0 ?11 ?087 ⒊ 表达式“非”逻辑用“非”运算描述。“非”运算又称求反运算,运算符为“-”或 “? ”, “非”运算可表示为: F=A 或 F= ?A读作 “F等于A非” ,意思是若A=0,则F为1; 反之,若A=1, 则F为0。88 §2逻辑代数的基本公式和规则一、基本公式⒈ 基本运算公式与 0 ? 0 =0 0 ? 1 =0 1 ? 0 =0或 0+0=0 0+1=1 1+0=1非1=0 0=1数值与数值 的关系1 ? 1 =11+1=189 ⒈ 基本运算公式(续)对合律、 非非律0-1律 重叠律0 ? A =0 1 ? A =A A ? A =A A ? A =00+A=A 1+A=1 A+A=A A+A=1交换律变量与数值的关系A=A变量与变 量的关系⒉与普通代数相类似的公式A+B =B+A结合律 分配律A+( B +C)= ( A+B )+CA( B +C)= AB+AC , A+BC=(A+B)(A+C)90 ⒊逻辑代数的特有公式摩根定理: A+B=A? B A ? B =A+B吸收律: A+A ? B=AA+A ? B=A+BA? ( A +B)=AA? ( A +B)=A? B包含律: A?B+A?C+BC=A?B+A?C (A + B)?(A + C)?( B+C )= (A + B)?(A + C) 尾部变换: A? B = A ? A B91 ⒋ 两种常用的运算公式⑴异或:AB=A? B+ A ? B变量相异为1, 反之为0⑵同或: A ⊙ B=A? B+ A ? B变量相同为1, 反之为0A 0=AA 1=A A B=A ⊙B A⊙B=A BA⊙0=AA⊙1= A92 AB=AC A+B=A+C? ?B=CB=C请注意与普通代数的区别!93 ⒌ 证明方法真值表法:检查等式两边函数的真值表是否相等。代数法:应用已证明的公式、定理来推导。例1 证明 摩根定理: A+B=A? B 证:用真值表法证明。A 0 0 1 1 B 0 1 0 1 AB 0 0 0 1A? BA ? B =A+BA1 1 0 0BA? B1 1 1 01 0 1 01 1 1 0同理可证 A+B =A ? B94 例2 : 证明A B=A ⊙BA⊙B=A B证:用真值表法证明。A B A B A B+A B A⊙B A B+A B00 101 00 + 0 =01 + 0 =1 0 + 1 =10 + 1 =10 + 0 =0 0 + 0 =0110 + 0 =01 + 0 =1证毕95 例3:证明包含律AB ? AC ? BC ? AB ? AC证明:AB ? AC ? BC ? AB ? AC ? ( A ? A) BC1 吸收? AB ? AC ? ABC ? ABC ? AB ? AC推广之: AB ? AC ? BCD(G+E) ? AB ? AC ? BC ? BCD (G+E) ? AB ? AC ? BC ? AB ? AC 吸收96 二、逻辑代数的重要规则⒈ 反演规则 如果将逻辑函数F中所有的“ ? ”变成“+”; “+”变成“ ? ”; “0”变成“1”; “1”变成 “0”; 原变量变成反变量;反变量变成原变量; 所得到的新函数是原函数的反函数 。 F 即: “ ? ”, “+”, “0” , “1”, “原变 量”, “反变量” “+” , “ ? ” , “1” , “0”, “反变量”, “原变量”例1: 已知F=AB+CD,根据反演规则可得到: F=(A+B) ?(C+D)97 使用反演规则时, 应注意保持原函式中运算 符号的优先顺序不变。例2:已知 F ? A ? B ? (C ? DE ), 则 F ? A ? [ B ? C ( D ? E )]F ? A? B ? C ? D ? E与变或时要 加括号例3:已知 F ? AB ? ABC ? B C 则F ? ( A ? B) ? ( A ? B ? C ) ? ( B ? C )长非号不变98 ⒉ 对偶规则如果将逻辑函数F中所有的“ ? ”变成“+”;“+”变成“ ? ”;“0”变成“1”; “1”变成“0”; 则所得到的新逻辑函数是F的对偶式F'。如果F'是F的 对偶式,则F也是F' 的对偶式,即F与F'互为对偶式。 即: “ ? ”, “+”, “0” , “1”, “变量” “+” , “ ? ” , “1” , “0”, 不变例: F ? A ? B ? C ? 0F ' ? A ? B ? (C ? 1)99求某一函数F的对偶式时,同样要注意保持原函数的运算顺序不变。 推理:若两个逻辑函数F和G相等,则其对偶式F’ 和G’也相等。例:如 AB ? AC ? BC ? AB ? ( A ? B)C ? AB ? ABC ? AB ? C则 ( A ? B) ? ( A ? C ) ? ( B ? C ) ? ( A ? B) ? C例: 证明包含律:(A+B)?(A+C)?(B+C)=(A+B)? (A+C) 证: 已知 AB +A C+BC=AB+AC等式两边求对偶:(A+B)?(A+C)?(B+C)=(A+B)? (A+C)证毕100 ⒊代入规则任何一个含有变量A的逻辑等式,如果将所有出现A的位置都代之以同一个逻辑函数F,则等式仍然成立。例如:给定逻辑等式A(B+C)=AB+AC,若用D+EF代替A,则该等式仍然成立,即: (D+EF)(B+C)=(D+EF)B+(D+EF)C 由式 (A+A=1) ,故同样有等式: f (A1, A2, …, An)+f (A1, A2, …, An)=1101 §3逻辑函数的化简函数表达式: 真值表: 卡诺图:一、 逻辑函数的表达形式例:函数 F=AB + ACABC 1 1 1 0 1 0 0 0 0 1 1 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 F 0 1 0 1 1 1 0 0102C 0 AB卡诺图是一 种用图形描 述逻辑函数 的方法。00 0 01 0 11 010 1 二、函数表达式⒈ 基本表达形式 按逻辑函数表达式中乘积项的特点以及各乘积 项之间的关系,可分5种一般形式。例: F ? AB ? AC? AB ? AC ? AB ? AC与或式与非-与非式? ( A ? B) ? ( A ? C ) ? A A ? A C ? AB ? B C ? AB ? A C? AB ? A C ? ( A ? B)( A ? C )与或非式或与式? ( A ? B)(A ? C) ? A ? B ? A ? C或非-或非式103 ⒉ 最小项表达式⑴ 最小项及最小项表达式如果一个具有n个变量的函数的“积”项包含全部n 个变量, 每个变量都以原变量或反变量形式出现, 且仅出 现一次,则这个“积”项被称为最小项,也叫标准积。例如:BC A假如一个函数完全由最小项的和组成, 那么该函数表达式称为最小项表达式。例如:F ( A, B, C) ? ABC ? ABC ? ABC ? ABC104 例:三变量函数的最小项:变量的各组取值 对应的最小项及其编号最小项A B C 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1编 号mo m1 m2 m3 m4 m5 m6 m7ABC ABCABCABCABC ABC ABC ABC编号规则:原变量取1,反变量取0。105 例如:F ( A, B, C ) ? ABC ? ABC ? ABC ? ABC最小项表达式 F ( A, B, C ) ? ABC ? ABC ? ABC ? ABC =m2+ m3+ m6+ m7 =? m(2, 3, 6, 7)注意:变量的顺序. 因: f ( A , A2 ,?, An ) ? f ( A , A2 ,?, An ) ? 1 1 1而f ( A1, A2 ,?, An ) ? f ( A1, A2 ,?, An ) ? ? mi所以 ? mi ? 1i ?0 2 ?1n2 n ?1 i ?0即n个变量的所有最小项之和恒等于1。106 ⑵ 最小项的性质 : 1)只有一组取值使 mi=1。例:m6=ABC,只有A ? 1, B ? 1, C ? 0时,m6= 12)当 i ? j 时, mi ? m j ? 0 。例:m6 ? m3=ABC ? ABC ? 03)全部最小项之和等于1,即∑mi=1。例三变量最小项 m0+m1+ ? ? ? +m7 =ABC ? ABC ? ABC ? ABC ? ABC ? ABC ? ABC ? ABC ? ??? ?1107 最小项的性质(续)4)n变量的最小项有n个相邻项。相邻项:只有一个变量不同(以相反的形式出现)。例 : 三变量最小项 m5 =A B C ; A取反 ; B取反 ; C取反 m7=A B C m4=A B C其邻项有(3项): m1 =A B C一对相邻项之和可 以消去一个变量。5)当函数以最小项之和形式表示时,可很容易列出 函数及反函数的真值表(在真值表中,函数所包含的 最小项填“1”) 。108 ⑶ 最小项表达式的求法结果形如:F ( A, B, C) ? ABC ? ABC ? ABC ? ABC方法观察法一般表达式: →除非号→去括号→补因子 真值表法例 : F ? ( AB ? C ? AB) ? AB? AB ? C ? AB ? AB ? AB ? C ? AB ? AB ? ( A ? B) ? C ? ( A ? B) ? AB? ABC ? ABC ? AB除非号 去括号? ABC ? A BC ? AB(C ? C ) ? ABC ? A BC ? ABC ? ABC? m3 ? m5 ? m7 ? m6 ? ? m(3,5,6,7)补因子109 用真值表法求最小项表达式结果形如:F ( A, B, C) ? ABC ? ABC ? ABC ? ABC例:函数 F=AB + ACABC 0 0 0 0 1 1 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 F 0 1 0 1 1 1 0 0其 余 补 0F ? m1 ? m3 ? m4 ? m5 ? ? m(1,3,4,5)110 由一般表达式直接写出最小项表达式(了解)例:函数 F=AB + AC0 分析 : AB项中C可取0或1,即最小项编号为 : 1 0 , 1 故含最小项 m 4和 m5 。 0 AC项中B可取0或1,即最小项编号为 : 0 1, 1 故含最小项 m1和 m3 。所以: F=∑m(1,3,4,5)111 ⒊ 最大项表达式(自学)⑴ 最大项及最大项表达式如果一个具有n个变量的函数的“和”项包含全部n个变量, 每个变量都以原变量或反变量形式出现, 且仅出现一次,则这个“和”项被称为最大项,也叫标准和。假如一个函数完全由最大项的积组成, 那么该函数表达式称为最大项表达式。112 例:三变量函数的最大项:变量的各组取值 对应的最大项及其编号 最大项 编 号 A B C0 0 0 0 1 1 1 10 0 1 1 0 0 1 10 1 0 1 0 1 0 1A? B ?C A? B ?C A? B ?C A? B ?C A? B ?C A? B ?C A? B ?C A? B ?CMo M1 M2 M3 M4 M5 M6 M7编号规则:原变量取0,反变量取1。113 例如: F ( A, B, C ) ? ( A ? B ? C )( A ? B ? C )( A ? B ? C )( A ? B ? C ) 最大项表达式: F ? M 0 M1M 4 M 5 ? ? M (0,1,4,5)注意:变量顺序.因f ( A1, A2 ,?, An ) ? f ( A1, A2 ,?, An ) ? 0而 f ( A1 , A2 ,?, An ) ? f ( A1 , A2 ,?, An ) ? ? M i2 ?1n2 n ?1 i ?0所以与最小项类似,有i ?0? Mi ? 0114 ⑵ 最大项的性质 :1)只有一组取值使 Mi=0。例:M1=A ? B ? C,只有A ? 0, B ? 0, C ? 1时,M1=02)当 i ? j 时,M i ? M j ? 1 。例:M1 ? M 4=( A ? B ? C) ? ( A ? B ? C) ? 13)全部最大项之积等于0,即∏Mi=0。115 最大项的性质(续)4)n变量的最大项有n个相邻项。例三变量最大项 其邻项有(3项): M 2 =A+B+C M6 =A B+C + M0=A+B+C M3=A+B+C ; A取反 ; B取反 ; C取反一对相邻项之积可以 消去一个变量。5)当函数以最大项之积形式表示时,可很容易列出 函数及反函数的真值表(在真值表中,函数所包含的 最大项填“0”)。116 以最小项之和的形式表示的函数可以转换成最大 项之积的形式,反之亦然。例如:F ( A, B, C ) ? ABC ? ABC ? ABC ? ABC=? m(2, 3, 6, 7) 而: F(A,B,C)=? m(0, 1, 4, 5)F ? F ? ABC ? ABC ? ABC ? ABC=(A+B+C)(A+B+C)(A+B+C)(A+B+C)? ? M (0,1,4,5)所以,有 F(A,B,C)=∑m(2,3,6,7)=∏ M(0,1,4,5) 同理 F(A,B,C)=? m(0,1,4,5) ? ? M (2,3,6,7)117 举例说明:Mi 和 mi 的关系118 三、逻辑函数的化简同一个逻辑函数可以有多种表达形式,一种形式的表达 式,对应一种电路,尽管它们的形式不同,但实现的逻辑 功能相同,所以在实现某种函数的电路时,重要的是如何 处理函数,以尽量少的单元电路、以及电路类型来达到目 的。化简的意义:电路简单 使用已有器件化简的方法:代数化简法(公式法)――掌握 卡诺图化简法――熟练掌握 列表化简法――不要求119 ⒈ 代数化简法该方法运用逻辑代数的公理、定理和规则 对逻辑函数进行推导、变换而进行化简,没有 固定的步骤可以遵循,主要取决于对公理、定 理和规则的熟练掌握及灵活运用的程度。有时 很难判定结果是否为最简。120 函数表达式一般化简成与或式,其最简应满足的两个条件: 1) 表达式中&与项&的个数最少;2) 在满足1)的前提下, 每个&与项&中的变量个数最少。例:化简F ? AC ? ABC ? AC D ? CD解:F ? A(C ? BC) ? C ( AD ? D)? A(C ? B) ? C ( A ? D)? AC ? AB ? AC ? CD ? A(C ? C ) ? AB ? CD ? A( 1 ? B) ? CD ? A ? CDA ? AB ? A ? B121 例:化简F ? AB ? AC ? BC ? BC ? BD ? B DA ? AB ? A ? B? ADE ( F ? G )解: F ? ABC ? BC ? BC ? BD ? B D ? ADE( F ? G)? A ? BC ? BC ? BD ? B D ? ADE ( F ? G)? A ? BC ? BC ? BD ? B D? A ? BC ( D ? D) ? BC ? BD ? B D(C ? C )? A ? BC D ? BCD ? BC ? BD ? BC D ? BC D ? A ? C D ? BD ? BC122 例: F ? AB ? A B ? BC ? B C? AB ? AB ? ( BC ? BC ) ( ) ? AB ? AB(C ? C ) 配项 ? BC ( A ? A) ? BC? AB ? ABC ? ABC ? ABC ? ABC ? BC反演被吸收被吸收? AB ? AC( B ? B) ? BC? AB ? AC ? BC123 ⒉ 卡诺图化简法将n个输入变量的全部最小项用小方块 阵列图表示,并且将逻辑相邻的最小项放 在相邻的几何位Z上,所得到的阵列图就 是n变量的卡诺图。 卡诺图的每一个方块(最小项)代表 一种输入组合,并且把对应的输入组合注 明在阵列图的上方和左方。124 ⑴变量卡诺图二变量卡诺图(A,B)0 B 0 moA1m2A A A B 0 1 B 0 A B ABB 1 A B AB B B B A 0 1 A 0 AB AB1 m1 m3B 0 A 0 mo1m11 m2 m3A 1 AB AB一对相邻的最小项之和可以消去一个变量。125 三变量卡诺图ABC00 01 11 10 0 mo m1 m3 m2 1 m4 m5 m7 m6BC A 00 01 11 10 A 0 A B C A B C ABC ABC A 1 A B C A B C ABC ABCC C C 一对相邻的最小项之和可以消去一个变量。126BBC 0 AB 00 mo 01 m2 11 m6 10 m41 m1 m3 m7 m5 四变量卡诺图CD 00 01 11 10 AB 1 3 2 00 0 01 4一对相邻的最小项之和 可以消去一个变量。C5 7611 12 13 15 1400 01 11 10 AB 00 A B C D A B C D A B C D A B C D 01 A B C D A B C D A B C D A B C D 11 A B C D A B C D ABCD ABC D A 10 AB C D AB CD ABCD ABC DDCD1089 11 10B127 五变量卡诺图(不要求)对称轴CDE 000 001 011 010 110 111 101 100 AB 00 0 1 3 2 6 7 5 401 8911 10 14 15 13 1211 24 25 27 26 30 31 29 28 10 16 17 19 18 22 23 21 20 n≥5 变量的卡诺图,可由n-1变量卡诺图在需 要增加变量的方向采用镜像变换而生成。128 说明:⑴ 2个或以上变量,按循环码规则排列;⑵ 每个小方格对应一个最小项;⑶ 相邻方格的最小项,具有逻辑相邻性,即有一个变量互 为反变量; ⑷具有逻辑相邻性的方格有: 相接――几何相邻的方格; 相对――上下两边、左右两边的方格; 相重――多变量卡诺图,以对称轴相折叠,重在一齐 的方格。逻辑相邻的最小项可以消去互补变量129 三变量卡诺图逻辑相邻举例BC A 00 01 11 10 0 A B C A B C ABC ABC 1 A B C A B C ABC ABC BC A 00 01 11 10 0 A B C A B C ABC ABC 1 A B C A B C ABC ABC相接相对130 四变量卡诺图逻辑相邻举例相接00 01 11 AB 00 A B C D A B C D A B C D 01 A B C D A B C D A B C D 11 A B C D A B C D ABCD 10 AB C D AB CD ABCDCD10ABC D ABC D ABC D ABC D相对相对131 五变量卡诺图逻辑相邻举例(不要求)对称轴CDE 000 001 011 010 110 111 101 100 AB 00 0 1 3 2 6 7 5 401 8911 10 14 15 13 1211 24 25 27 26 30 31 29 28 10 16 17 19 18 22 23 21 20相重132 ⑵函数卡诺图用卡诺图法对逻辑函数进行化简时,首先要确定函数 与卡诺图的关系,将函数用卡诺图的形式表现出来。 真值表 →填卡诺图 方法 表达式 → 一般与或式 →填卡诺图 化成最小项表达式 →填卡诺图真值表、表达式、卡诺图都可以表达一个逻辑函数。133 由真值表填卡诺图ABC 0 0 0 0 1 1 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1F 0 1 0 1 1 1 0 0C 0 AB 00 mo 01 m2 11 m6 10 m4A BC1 m1 m3 m7 m5C 0 AB 0001 11 100 0 0 111 1 0 1对应最小项填1 00 01 11 其余补0 100 1 m m 0 mo m1 1 3 0 2 1 1 0 0 1 m4 m5 m7 m6134 F ? ABC ? ABD ? AC例如:? ABC D ? ABC D ? ABCD ? ABC D ? A BC D ? A BCD ? ABC D ? ABCD ? m5 ? m4 ? m15 ? m13 ? m10 ? m11 ? m14 ? m15? ? m(4,5,10,11,13,14,15) CD CD 00 01 11 10 00 01 11 10 AB AB 1 3 2 00 0 0001 4 1085 7601 11111 1 1 1 113511 12 13 15 14 9 11 1010 由一般与或式 填卡诺图示例:三变量(了解)F ? AB ? ACABC0 100 01 11 101 1 1 1ABC00 01 11 10 1 1 0 1 1 1136 示例:四变量(了解)F ? BD ? B D ? ABCD ? BC DCD 00 01 11 10 AB 00 1 1101 11 10 11 1 1 1 1 11CD 00 01 11 10 AB 00 1 1 01 111 1 1 1 1 113710 1 ⑶函数的卡诺图化简依据 : 相邻最小项 ? 提出公因子 ? 消去互补变量 例: 二变量 三变量 AB ? AB ? A( B ? B ) ? A m3 m7 m2 m5 ABC ? A BC ? AC( B ? B ) ? AC方法: 1)填写函数卡诺图; 2)合并最小项,对邻项方格画卡诺圈(含2n方格); 3)消去互补变量,直接写出最简与或式。138 画圈原则:圈尽量大 →消去的变量多 圈尽量少 →结果乘积项少 要有新成份→没有冗余项使用方法:圈1 →得到 F 原函数 圈0 →得到 F 反函数(了解)圈1个格→消0个变量 圈2 → 1 圈4 → 2 圈8 → 3 …………139画的圈不同,结果 的表达式形式可能不 同,但肯定是最简的 结果。 二变量卡诺图的典型合并情况A 0 B 0 1 11 1A 0 B 0 1 1 11A 0 B 0 1 11 1 1140 三变量卡诺图的典型合并情况BC A 00 01 11 10 1 0 1 1 1 1BC A 00 01 11 10 1 1 0 1 1 1BC A 00 01 11 10 0 1 1 1 1 1141 四变量卡诺图的典型合并情况CD AB 00 01 11 10 1 1 00 1 01 1 1 11 1 1 1 10CD AB 00 01 00 1 01 1 11 1 1 1 10CD AB 00 01 11 10 1 00 1 1 1 01 1 1 11 1 10 1 11 10 1 1 1 1 1142 无效圈示例1CD 00 01 11 10 AB 00 0 0 0 001 0 11 1 10 11 1 0 0 0 0 0 0 0不是矩形143 无效圈示例2CD 00 01 11 10 AB 00 1 1 101 1 11 1 10 1 1 1 1 1没有新 变量. 无效圈.11144 例1:卡诺图化简BC BC 00 A 0 0 01 11 100 01 10 1AB10F=AB+BC145 例2:化简 F(A,B,C,D)=?(0,2,3,5,6,8,9,10,11,12,13,14,15)CD 00 01 11 10 AB 00 1 0 1 1 01 0BCBC D1 1 10 1 11 1 1CDBD11 1 10 1AF ? A ? C D ? BC ? B D ? BCD146 例3:化简ABDCD 00 01 11 10 AB 00 1 1 1 1 01 1CD 00 01 11 10 AB 00 1 1 1 101 1 11 1 10 11 0 11 0 11 1 11 0 11 0 11 1 111 110 1F ? ABDF ? A? B ? D147 例4:用卡诺图化简逻辑函数F(A, B, C, D)=?m(0, 5, 7, 9, 10, 12, 13, 14, 15)解: CD AB 00 01 11 10 00 1 0111 1 10 1 1CD AB 00 01 11 10 00 101 1 1 1 1 1 11 1 1 10 11 1 1 1 1F ? ( A, B, C, D) ? A B C D ? BD ? AB ? ACD ? AC D148 例5:用卡诺图化简逻辑函数F(A, B, C, D)=?m(2, 3, 8, 9, 10,12, 13)CD AB 00 01 11 10 1 1 00 0111 1 1 10 1 1 1CD AB 00 01 11 10 1 1 00 01 11 1 1 10 1 1 1F ? ( A, B, C, D) ? AC ? A BC ? BCD或 F ? ( A, B, C, D) ? AC ? A B C ? AB D不同的圈法,得到不同的最简结果149 例6:用卡诺图把逻辑函数(不要求)F(A, B, C, D)=? M( 3, 4, 6, 7, 11, 12, 13, 14,15) 化简成最简&或与&表达式。解:F ( A, B, C, D) ? ?M (3,4,6,7,11,12,13,14,15)M i ? mi mi ? M i? M 3 ? M 4 ? M 6 ? M 7 ? M11 ? M12 ? M13 ? M14 ? M15? m3 ? m4 ? m6 ? m7 ? m11 ? m12 ? m13 ? m14 ? m15? ?m(0,1,2,5,8,9,10)最小项互补, 即编号互为补充150 ? ?m(0,1,2,5,8,9,10) CD AB 00 00 101 11 10 1 1 0 1 0 1 0 0 0 0 001 11 100 0 1原函数为0时, 反函数为1. 此处圈0,应 理解为对反 函数是圈1.1F ( A, B, C, D) ? AB ? CD ? B DF ( A, B, C, D) ? CD ? AB ? B D? (C ? D)( A ? B)( B ? D)151 ⒊逻辑函数化简中两个实际问题的考虑⑴ 包含无关最小项的逻辑函数的化简无关最小项:一个逻辑函数, 如果它的某些输入取值组合因受特殊原因制约而不会再现, 或者虽然每种 输入取值组合都可能出现, 但此时函数取值为1还是为0无关紧要, 那么这些输入取值组合所对应的最小项称为无关最小项。无关最小项用“d”或者“×”表示。 无关最小项可以随意加到函数表达式中,或不加到 函数表达式中,并不影响函数的实际逻辑功能。 其值可以取1,也可以取0。152 无关最小项举例例1 :十字路口红绿灯,设控制信号G=1 →绿灯亮; 控制信号R=1 →红灯亮; 则 GR可以为GR=00、01、10,但GR ≠ 11。 例2 :电动机正反转控制,设控制信号F=1 →正传; 控制信号R=1 →反转; 则 FR可以为FR=00、01、10,但FR ≠ 11。 例3 :8421BCD码中,从1010 ~1111的六种编码不允 许出现,可视为无关最小项。153 例1:给定某电路的逻辑函数真值表如下,求F的最简&与或&式。A 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1B 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1C 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1D 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1F d d d 1 1 1 0 0 0 0 1 1 1 d d d解:1)不考虑无关最小项:CD AB 00 01 11 10 1 00 01 1 1 11 10F ( A, B, C , D)111? ABC ? BC D ? BCD ? ABC154 2)考虑无关最小项:A 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1B 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1C 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1D 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1F d d d 1 1 1 0 0 0 0 1 1 1 d d d0 0 1CD AB 00 01 11 10 00 d d 1 d 01 1 1 11 1d d d这2个d看 作“1”, 其余d看作 “0”101 1F ( A, B, C, D) ? BC ? BC1 0 0F ? ABC ? BC D ? BCD ? ABC155 例2:已知真值表如图,用卡诺图化简。A 0 0 0 0 1 1 1B 0 0 1 1 0 1 1C 0 1 0 1 0 0 1F 0 0 0 0 1 1 1101状态未给出,即是无所谓状态。156 化简时可以将无所谓状态当作1或0, 目的是得到最简结果。BC 00 A 0 0 110111100 φ0 10 1A认为是1F=A157 ⑵多输出逻辑函数的化简(不要求)对于多输出逻辑函数,如果孤立地将单个输出一 一化简,然后直接拼在一起,通常并不能保证整个电 路最简,因为各个输出函数之间往往存在可供共享的部分。多输出逻辑函数化简的标准: 1) 所有逻辑表达式包含的不同&与项&总数最小; 2) 在满足上述条件的前提下,各不同&与项&中 所含的变量总数最少。158 例:多输出函数.F1( A, B, C ) ? AB ? ACF2 ( A, B, C ) ? AB ? BC对应的卡诺图为 AB C 00 01 11 10 1 1 0 1 1 F1 F1,F2共含4个不同的与项。159AB C 00 01 11 10 1 0 1 1 1 F2 从多输出函数化简的观点来看,它们不是 最佳的,应该是:F ( A, B, C ) ? AB ? ABC1F2 ( A, B, C ) ? BC ? ABC对应的卡诺图为:AB C 00 01 11 10 1 1 0 1 1F1AB C 00 01 11 10 1 0 1 1 1 F2F1,F2共含3个不同的与项,其中ABC 为共享部分。多输出逻辑函数的化简考试不要求160 本章要求? ?熟练掌握逻辑代数的基本公式和规则。 熟练掌握逻辑函数的公式法化简和卡诺图 化简方法。作业:2.3(3,7) 2.4(1,4,7,10) 2.5(1,4) 2.10(1,2,3,4,5,6)161 本章总结1 基本逻辑运算 ? 逻辑代数是逻辑学中的数学分支。在电子领域用二值变量进行 描述,称布尔代数,统称逻辑代数。 ? 逻辑状态是指完全对立、截然相反的二种状态,如:好坏、开 关等。 ? 逻辑变量是指代表逻辑状态的符号,取值 0 和 1。 ? 逻辑代数中变量运算只有三种,即与、或、非等基本逻辑运算。 ? 与运算的运算法则是“有0出0,全1出1”,可以用串联开关 电路说明。 ? 或运算的运算法则是“有1出1,全0出0”,可以用并联开关 电路说明。 ? 真值表是指全部输入条件的所有组合与输出的关系。162 本章总结2 逻辑函数的基本公式 ? 逻辑函数的基本公式包括基本运算公式、与普通 代数类似的公式、逻辑代数的特有公式以及两种 常用的运算公式等四大类。 ? 逻辑函数基本公式的证明方法包括真值表法和代 数法。 ? 逻辑代数的重要规则包括反演规则、对偶规则和 代入规则。 ? 反演规则和对偶规则的区别在于,对偶规则中变 量保持不变。 ? 逻辑函数的表达形式包括函数表达式、真值表和 卡诺图。163 本章总结3 最小项 ? 假如一个函数完全由最小项的和组成, 那么该函数 表达式称为最小项表达式。 ? 最小项表达式的求法包括观察法(一般表达式→ 除非号→去括号→补因子)和真值表法。 ? 以最小项之和的形式表示的函数可以转换成最大 项之积的形式,反之亦然。 4 逻辑函数化简 ? 逻辑函数化简的方法包括代数化简法(公式法)、 卡诺图化简法和 列表化简法。164 本章总结5 卡诺图化简法 ? 卡诺图化简法的原理在于一对相邻的最小项之和 可以消去一个变量。具有逻辑相邻性的方格包括 相接、相对和相重。 ? 卡诺图化简法的步骤包括1)填写函数卡诺图;2) 合并最小项,对邻项方格画卡诺圈;3)消去互补 变量,直接写出最简与或式。 ? 画卡诺圈原则圈尽量大、圈尽量少、每个圈要有 新成份。 ? 无关最小项可以随意加到函数表达式中,或不加 到函数表达式中,并不影响函数的实际逻辑功能。 其值可以取1,也可以取0。165 第三章 逻辑门电路连接模拟和数字的桥梁§1逻辑门电路§2§3TTL集成门电路MOS集成门电路166 §1 逻辑门电路门:具有开关作用。 门电路:具有控制信号通过或不通过能力的电路。一、器件的开关作用 体现开关作用→静态特性 开关特性 转换过程→动态特性 Z=0 →短路、相当开关闭合 Z=∞ →断路、相当开关断开理想开关特性167 二、半导体二极管的开关特性 ⒈开关作用(静态特性)D正偏→导通→UD很小→电路导通UD ≈0.7V,硅管 UD ≈0.3V,锗管UD+ ViDR-注:讲课如不特殊说明,均以硅管为例.D反偏→截止→UD很大→电路断开168 ⒉转换过程(动态特性)反向恢复过程:D正偏时,PN结电阻较小。加上反 电阻的增加,反向电流逐渐减小,UD+ ViDR- 压后,形成较大的I2;尔后,随着结 V i直至漏电流Is。V1t反向恢复时间 tre (resume)V2 电流由I2 ? ? 0.1I2 , 所需的时间。 R说明: ⑴转换时间:截止→导通 较小导通→截止较大 故D的开关时间以tre来衡量。 ⑵Vi的最高频率以10 tre来取值。V2 ID I10.1I 2tIsI2 t re169 三、半导体三极管的开关特性 ⒈开关作用(静态特性)Vcc=5V 1kViVbe 截止 反偏 放大 正偏 饱和 正偏ib ? Ibs10K 反偏, ib=ic =0,开关断开。 反偏, ic = βib, 线性放大。 正偏, ib &Ibs ,Vcc ? Vces Vcc ? ? ?RC ?RCVbcT β =30Vo开关闭合。, Vces ? 0.7V倒置 反偏正偏, 与放大相反170 ⒈开关作用(静态特性) (续) 临界饱和:Vbc=0 V 时,T处于临界饱和 Viib ? Ibs Vcc ? Vces ? ? , Vces ? 0.7V ? ?RC Ic ib Ibs IcVcc=5V 1k YT10K β =30饱和系数: B ?B越大,饱和越深; 反之饱和则浅?? Vcc ? 0.7 ?RC说明:因 ib ? Ibs ?所以,临界饱和电流是由外电路(Rc)决定的, Rc不同,临界饱和电流是不一样的。171 例1:计算图示电路的临界饱和电流。Vcc解:I csVcc ? Vces e Re -I ? RCic↓Rc Vo? I cs RC+I e Re=Vcc ? VcesViRbib→ie↓TReβ =30 Vces=0.7V即:?I bs RC+( +?)I bs Re=Vcc ? Vces 1 I bs= Vcc ? Vces ?RC+( +?)Re 1172 ⒉转换过程(动态特性) 开关时间:T从:Vit0ic0td tr截止→导通 ,建立电荷需要时间 →ton 导通→截止存储电荷消散需要时间 →toff0.9 I c max 0.1I c maxtts t f说明: ⑴转换时间:截止→导通 时间ton较小导通→截止时间toff较大 ⑵ toff中 ts占主要部分。 ⑶ td --- tr --- ts --- tf ---fall 。173ton ? td ? tr开启 延时 上升toff ? ts ? t f关闭 存储 下降 四、基本门电路对应三种基本逻辑运算,有三种基本门电路⒈二极管与门(D与门) ⑴电路D1 Vcc(5V) R⑵原理电位表: VA VB VF D1 D25V AD2F0VB0V0V 5V0V5V 0V0.7V0.7V 0.7V通通 止通止 通电路分析要求出输入的 各种组合与输出的关系1745V5V5V止止 VA 0VVB 0VVF 0.7VD1 D2 通 通 0→低电位 1→高电位真值表: A B F 0 0 00V5V 5V5V0V 5V0.7V0.7V 5V通止 止止通 止01 110 100 1实现 了与 逻辑 功能⑶符号A A AB& F 国标BF 惯用BF 国外175 ⒉ 二极管或门(D或门) ⑴电路 ⑵原理电位表: VA 0V 0V VB 0V 5V VF 0V 4.3V D1 D2 止 止 止 通D15V AD2F0VBR5V5V0V5V4.3V4.3V通通止通真值表: A B F 0 0 0 0 1 1 0 1 1实现 了或 逻辑 功能0→低电位 1→高电位111176 ⑶符号AB≥1AFB+ 惯用AFBF 国外国标177 ⒊ 晶体管非门 (反相器) ⑴电路 ⑵原理电位表:Vcc( 5V) Rc F A TVA0V 5VVF5VT止真值表: A F 0 1 1 0Rb实现 了非 逻辑 功能0.3V 通⑶符号AF1AF 惯用AF 国外178国标 ⒋ 复合门把单级门电路级联起来,构成复合门,如:与非门、或非门等等。或非门 与非门 异或门A BA B A B?1Y &=1A BA B A B A BY Y Y Y 惯用A BA B A B A BYYY YYA 异或非门 B= 国标YY 国外179 五、逻辑约定(了解)⒈ 正逻辑 ⒉ 负逻辑低电位→ 0 门电路的输入、输出电压定义为: 高电位→ 1低电位→ 1 门电路的输入、输出电压定义为: 高电位→ 0说明: ⑴前面所述基本门电路均以正逻辑定义。⑵同一个逻辑门电路,在不同逻辑定义下, 实现的逻辑功能不同。⑶数字系统中,不是采用正逻辑就是采用负逻辑,而不能混合使用。本书中采用正逻辑系统。180 正负逻辑约定举例电位表: VA VB VF 0V 0V 5V 5V 0V 5V 0V 5V 正逻辑 真值表 0.7V 0.7V 0.7V 5V D1 D2 通 通 止 止 通 止 通 止D1Vcc(5V) R5V AD2F0VB A B 1 1 1 0 0 0 1 F 1 1 1A B 0 0 0 1 1 0F 0 0 0负逻辑 真值表F=ABF=A+B等价1 1 1 正与逻辑0 0 负或逻辑181 ⒊ 正负逻辑转换(只需了解)⑴依据:F ? AB ? F ? A ? B F ? A ? B ? F ? A? B一个门的输入和输出同 时取反,则:正逻辑←→负逻辑⑵目的:化简和转换电路。 从后往前的奇数级上,输入、输出都取反,且 ⑶方法: 与门→或门,或门→与门,即可化简电路。182 正负逻辑转换举例A B& & &E FY ? AB ? CD ? E ? H& Y1.奇数级,前后取反C DA B C D A B C D&& &≥12.相互抵消&E&F≥1&Y 3.与门→或门& &Y ? ( AB ? CD ) E ? H?1&E H?1Y? ABE ? CDE ? H183 §2 TTL集成门电路(与非门)集成门电路按开关元件分类二极管----晶体三极管逻辑门(DTL) 集 成 晶体三极管----晶体三极管逻辑门(TTL) 双极型 射极耦合逻辑门 (ECL)2逻辑 门集成注入逻辑门电路 ( I L )N沟道MOS门 (NMOS) 单极型(MOS型) P 沟道MOS门 (PMOS) 互补MOS门 (CMOS)集成:把晶体管、电阻、和导线等封装在一个芯片上。184 一、电路??输入级由多发射极晶体管 R2 R4 3k T 1 和基极电组R 1 组成,它 中间级是放大 ? 实现了输入变量A、B、C b1 C2 T3 级,由T2、R2 ? 的与运算。 c1 A 和R3组成,T2 T2 T4 Vcc(5V) T1 B 的集电极C2和 R R5 E2 ? C 发射极E2可以 F 分提供两个相 D1 F A ? T5 位相反的电压 D2 F ? A? B ?C 输出级:由T3、T4、T5和R4、 D4 信号 B D3 R5组成,其中T3、T4构成复合 R3 C 管,与T5组成推拉式输出结构 ? ,具有较强的负载能力。 多发射极 中间 推挽 输入级 倒相级 输出级185R1+5V 二、工作原理 Vb1=0.3+0.7=1V 不足以让 T2、T5导通1. 输入有低电平(0.3V)时? ?R1+5VR43k 1V b1R2 T3?3.6 V 3.6 V 0.3 Vc1T1T2T4 R5?FT5 T2截止T5截止(不足以导通)?“0”三个PN结R3?T1深饱和(T1基极电压最高)186导通需2.1V 1. 输入有低电平(0.3V)时(续)T1深饱和 T2截止 T5截止 ? ?R1 3k 1V b1+5VR4T3导通 T4导通R2?A B Cc1R5T3 T4?T1F uo“0” uo=5-uR2-ube3-ube4?3.6V高电平! 结论1:输入有低时,输出为高187 2. 输入全为高电平(3.6V)时Vb1=2.1V Vc1=1.4VT1管:Ve1=3.6V 通过设置R2和R3使T2,T5管饱和导通,Vce2=0.3V ? Vb1=2.1V ? +5V Vc1=1.4V 所以:Vc2=1V→T3:放大 R1 NPN:Ve1&Vb1&Vc1 R R2 放大 →Vb4=0.3V → T4:截止 3k T1管在倒置工作状态 4 ? 2.1V b1 ?1V T3 c11.4V T2 0.3V T4 截止全反偏3.6VA B CT1R5??T1:倒Z T2:饱和 T5:饱和 T3:放大 T4:截止FT5全导通0.7VR3?188 2. 输入全为高电平(3.6V)时(续)T1:倒Z T2:饱和 T5:饱和 T3:放大 T4:截止 ?R13k b1+5VR2T5饱和, Vce5=0.3VA 3.6V B Cc1T1T2饱和?FT5uF=0.3V结论2:输入全高时,输出为低R3饱和189 工作原理小结:T1深饱和T2截止 T3微饱和 T4放大 T5截止 T1:倒Z T2:饱和 T3:放大 T4:截止 T5:饱和1. 输入有低电平(0.3V)时 VF=3.6V2. 输入全为高电平(3.6V)时VF=0.3V3. 逻辑功能F ? A? B ?C190 3. 输入多发射极的作用(了解)TTL集成门在输入级采用晶体管多发射极, 其作用是: 1.参数一致性好; 2.缩小体积;3.缩短T2从饱和向截止的转换时间→加速转换过程。(即加速输入由全“1”→→输入有“0”的转换过程)191 输入多发射极的作用(续)T2截止,Vc2电压升高,T3导通, 做电压跟随→T4进入放大。+5V放大区 1V VA= 3.6V VB= 3.6V 3.6R1 b1R2 T2 T3 R5R4T4集电极电流加大, T5迅速截止c11.4VT1集电极电流饱和Vc0.3FT5饱和基区电荷迅速消散R3饱和到截止,基区电荷需要消散时间由于多发射极输入级的存在,T1在转换瞬间进入放大状态,加速了T2 的状态转换,从而加速了整个电路的工作速度。192 4. 推挽输出电路的作用(了解)输出级采用推挽电路不仅提供比较大的带负载能力,而且在接容性负载的状态转换时,可以产生比较大的充放电电流,产生陡峭的上升或下 降沿,也提高了整体电路的开关速度。193 ⑴输出为低电平时:+5V T5深度饱和,集 R1 电极电流可以全部 用于驱动负载。 “1” 输出电阻为T5的 T1 “1” “1” 饱和c-e 电阻,阻 值很小,带负载能 力强。 容性负载时,放电较快, 形成陡峭的下降沿。R2T3 T2 R55VR4截止RLT4FT5 Co RL 饱和R3194 ⑵输出为高电平时:+5V T4处在放大区, 工作在射极输出状态,R1 R2T3R4组成电压跟随器,跟 “0”“1” 随VCC,输出稳定, “1”T1截止T2 R5T4FT5 Co RL带负载能力强。R3 容性负载时,充电较快, 形成陡峭的上升沿。195 TTL集成电路的外特性包括:?电压传输特性 VO = f(Vi)VOH输出高电平, OL输出低电平,VON开门电平: V VOFF关门电平, T门坎电平, V 噪声容限:VNH ,VNL。?输入/输出特性⒈ 输入伏安特性 ii = f(Vi) ⑴输入短路电流IIS ⑵输入漏电流IIH ⑶灌电流 ⑷拉电流 ⒉输入负载特性 Vi = f(Ri) 开门电阻 RON ,关门电阻 ROFF⒊输出特性 Vo = f( io ) ⑴输出低电平, ⑵输出高电平 ⑶扇出系数196 三、电压传输特性输出电压VO随输入电压Vi变化的关系曲线,即 VO = ⒈ 电压传输特性 VCC &Vi V V Df(Vi)。V0(V)传输特性曲线C3.6VVoA B测试电路E01 2 0.6V 1.4V3Vi(V)197 电压传输特性分析 AB段:截止区,当VI≤0.6V,Vb1≤1.3V时,T2、T5截止,输出高电平VOH = 3.6VV0(V) BC段:线性区,当0.6V≤Vi≤1.3V,3.6VA B C0.7V≤V b2<1.4V时,T2开始导通, T5仍截止,VC2随Vb2升高而下降,经 T3、T4两级射随器使VO下降。VCC & Vi(V)Vi V VDEVo01 2 0.6V 1.4V3198 电压传输特性分析VCC&V0(V)Vi V VVo3.6VA B CCD段:转折,Vi=1.4V,T2、DT5饱和, Vo逐渐减小。E0DE段:饱和区,Vi&1.4VVi(V)1 2 0.6V 1.4V3VO=0.3V199 ⒉几个参数VOH输出高电平:与非门输入有低时,Vo= VOHVOL输出低电平:产品规范值:VOH≥2.4V 典 型 值: VOH=3.5V V 2.4V 标准高电平: SHOH=VSH=2.4V V0.4V VSL 产品规范值:VOL≤0.4V 0V 典 型 值: VOL=0.3V 标准高低平: VOL=VSL=0.4V5V与非门输入全高时,Vo= VOL说明:1. VOH 和 VOL都是对具体门输出高、低电平电压值的 要求。 2.高电平表示一种状态,低电平表示另一种状态, 一种状态对应一定的电压范围,而不是一个固定值。200 几个参数(续)此时输入有低VOFF关门电平: 与非门在保证输出为高电平时, 允许的最大输入低电平值。 VOFF=0.8V此时输入全高VON开门电平: 与非门在保证输出为低电平时, 允许的最小输入高电平值。 VON=2 V VT门坎电平:VON ? VOFF VT ? ? 1.4V 2201 ⒊噪声容限1VSH VNH VON VOFF VNL1在保证输出高、低电平性质不变 的条件下,输入电平的允许波动 范围称为输入端噪声容限。定义: 高电平噪声容限 VNH = VSH - VON = 2.4-2=0.4V 低电平噪声容限 VNL = VOFF - VSL = 0.8-0.4V=0.4V0VSL0202 四、输入/输出特性⒈ 输入伏安特性:输入电压与输入电流之间的关系曲线, 即ii = f(Vi)+5V IR1R1IIH=50μA3kT1R2T2iiT1倒置IiVi IIS -1.4mA 1.4V3.6V Vi测试电路特性曲线203 输入伏安特性(续1)⑴ 输入短路电流IISVi = 0V时由输入端流出的电流。I ISVCC ? Vbe1 5 ? 0.7 ? ? ? ?1.4 mA R1 3K+5V IR1R1ii1.4V3.6V Vi设定 正方 向Ii ViIIS -1.4mA Vi = 0~1.4V时, IC1变化很小, Ii的 绝对值也只略有 减少。2043kT1R2T2×输入有低, T2截止。 输入伏安特性(续2)⑵输入漏电流IIH(输入高电平电流) Vi = 3.6V时,由输入端流入的电流。 ii IIH 1.4V IIH=50μA3.6V Vi假定 正方 向Ii Vi = 3.6V+5V IR1R1IIS -1.4mA Vi ≥1.4V时, T2始 导通,IC1迅速增 大→ Ii迅速减小。3k IC1T1R2T2输入全高,T1倒置, Ii流入T1205 ⑶灌电流条件:前级输出为 低电平。?+5VR2对前级而言,电流 灌入,称灌电流, 约1.4mA(=IIS)R1 3k b1+5VR1c1T1T2?T1T5R3?206前级后级 ⑷拉电流 ?条件:前级输出为 高电平。?+5VR4+5VR1R2? ?T3 T4?3.6V反偏R5T1后级前级对前级而言,电流 流出,称拉电流, 约50μA (=IIH)207 ⒉输入负载特性即输入端通过电阻R接地时的特性? ?输入端 “1”,“0”?A B CR1 3k b1?+5VR4R2?c1T1 ViT2T3T4 R5?FT5RI?R3?208 R较小时Vi&VT=1.4V 时,相当输入低电平, 所以输出为高电平。R增大时R??Vi??=VT 时,输入变高,输出变低电平。此时Vi≡1.4V。+5VVi=VT 时,T2、T5 导通,Vb1=2.1V,使 R1 Vi钳在1.4V。Vi1.4V 0 R3kRA B CT1Vi4.3R R (5 ? U ) Vi ? be1 ? R1 ? R 3? RR单位: KΩ209 1. 悬空的输入端(R=∞)相当于接高电 平。 2. 为了防止干扰,可将悬空的输入 端接高电平(如Vcc)。210 开门电阻 RON在保证与非门输出为低时, 允许输入电阻R的最小值。相当输入高电平。关门电阻 ROFF 当RI≤ ROFF时,当RI≥ RON时,RON=2 KΩ 在保证与非门输出为高时,允 许输入电阻R的最大值。ROFF=0.8 KΩ相当输入低电平。211 ⒊输出特性 ⑴输出低电平 VCCT4说明:⑴输出为低,灌电流负载。 ⑵ T5饱和,Rce5很小,故IL上升时,VOL 上升很慢,基本呈线性关系。 ⑶当VOL> VSL=0.4V后,低电平输 出逻辑关系被破坏,故IL灌受限制。RL FIL T5VOL0.4V 0 20mA IL212 VO⑵输出高电平 +5V IR4T3 T4 R5 IL T5 RL3.6V 2.4VR4说明:F0 5mA 20mAIL⑴输出为高,拉电流负载。 ⑵IL较小时,T3处在浅饱和区(VCE3 较大), IL↑→IR4 ↑→VR4 ↑→VCE3 ↓→VO基本不变。 ⑶IL>5mA后, IR4 ↑ , VC3 ↓→ T3进入饱和区, VCE3=VCES3保持不变,VO随IL上升而下降。 ⑷当VOL<VSH=2.4V后,高电平输出 逻辑关系被破坏,故IL拉 受限制。213 ⑶扇出系数门电路输出驱动同类门的个数?前级输出为 高电平时―拉电流负载。?+5VR4R2?T3 T4 R5?IiH1 IiH2?T1T1 T1214前级IOH IiH3因IL拉受限制,故负载数量有限。 前级输出为 低电平时―灌电流负载。 ? +5V 因IL灌受限制,故负载 R1 数量有限。3k b1R2IiL1c1T1T2IOL IiL2??T5R3?IiL3前级215 输出低电平时,流入前级的电流(灌电流): I OL ? I iL1 ? I iL2 ? ? 输出高电平时,前级流出的电流(拉电流): I OH ? I iH1 ? I iH 2 ? ?由于IOL、IOH的限制,每个门电路输出端所 带门电路的个数有限,一般 N灌>N拉。一般与非门的扇出系数为8。216 五、主要性能和主要参数⒈主要性能⑴工作速度ui 50% o uo t 50% o tpd1 t平均传输时间t pd 1 ? (t pd 1 ? t pd 2 ) 2主要取决于存储时间ts, 5管门电路 tpd=40ns抗饱和电路 改进措施tpd2217有源泄放 TTL与非门的改进(了解)存在问题:TTL门电路工作速度相对较快,但由于当输出为低电平时T 5 工作在深度饱和状态,当输出由低转 为高电平,由于在基区和集电区有存储电荷不能马上 消散,而影响工作速度。 ★有源泄放(了解)R1 R2T3 T2 R5 R3 R6 T6 T5+5VR4T4● T 2 、T 5 同时导通, 因此电压传输特性曲 线过渡区变窄,曲线 T1 变陡,输入低电平噪 声容限V N L 提高了0.7V 左右。 ●减少了电路的开启时间 由T6、R6和R3构成的 缩短了电路关闭时间。 有源泄放电路来代替 平均 tpd=6~10ns T2射极电阻R3FR3218 ★抗饱和电路(了解)?可能工作在饱和状 态下的晶体管T 1 、T 2 、 T 3 、T 5 都用带有肖特 基势垒二极管(SBD) 的三极管代替,以限 制其饱和深度,提高 工作速度。 平均 tpd=2~4ns ?SBD特点: ①与普通二极管一样,具 有单项导电性; ②开启电压低,约0.4V; ③多数载流子导电,电荷 存储效应小。原理:当Vbc=0.4V 时,SBD导通,将Ib分流, 避免T进入深饱和。219 ⒈主要性能(续)⑴工作速度: 典型 tpd=40ns ⑵负载能力: 扇出系数 N=8 ⑶抗干扰能力: 低电平抗干扰能力VNL=0.4V 高电平抗干扰能力VNH=0.4V ⑷空载功耗: 截止功耗 POFF : 较小 导通功耗 PON :较大, PON =几十毫瓦 ⒉主要参数:自学220 ⒊TTL系列说明通用系列74系列 54系列军品: -55℃~125 ℃ 工品: -40℃~85 ℃民品: 0℃~75 ℃发展方向: 高速、低功耗74H00 HTTL 6ns/22mw LTTL 33ns/1mw 74S00 STTL 3ns/19mw LSTTL 10ns/2mw 74AS00 ASTTL 1.5ns/19mw ALSTTL 4ns/1mw7400 TTL 10ns/10mw74L00H:高速 L:低功耗74LS00S:抗饱和74ALS00A:先进工艺221 六、二种特殊门⒈集电极开路门(OC门)⑴电路普通门电路 集电极开路门电路 VCC1+5V R13k b1R2R4无T3,T4T2 R5 T5 R3VCC2c1T3T11.正常使用时,输出端必须 外接负载电阻RL。 2. VCC1和VCC2可以不等。T4RL 负载电阻F集电极悬空222 ⑵符号A B C&FA B C&FF ? A ?B?C223 1) OC门的用途 ⑶ 实现“线与”功 能VCC直接将两个逻辑 门的输出连接起 来,实现与的逻 辑功能。?VCC&F1RL FRL?&F2输出级?T5?FT5 T5224&F3F=F1F2F3 F=F1F2F3?(1)F1,F2,F3 有低电平时 VCCRLF1 F2?F=0F?任一导通F3225 F=F1F2F3?(2)F1,F2,F3 全部高电平时 VCCRLF1 F2?F=1F?所以: F=F1F2F3全部截止 F3226 2)电平转移功能VCC1=5V TTL电平VCC2 =10V RL&F1转移电平F “1”≈10 V“1”→3.6V?“0”→0.3V“ 0” ≈0V227 如果RL取值太大, ⑷上拉电阻RL的确定(了解) 则RL上的分压太大, RL的取值范围根据其所带负载而定。 可能使负载的输入 被拉低到规定值以 如图所示电路,假设输出都为高电平时 下,引起逻辑错误。 VCC 这里必须限制RL的 最大值。 VOH(min)≤VCC-RLIRL R IRL IL ( VIH(min) ) OH A1 IIH & B1 &n …VOH (VIH)……m … & IIHRL≤VCC- VOH(min) mIIH+nIOHAn Bn&IOHIOH:OC门的截止漏电流228 假设有一个输出是低电平VCCIOL A1 B1 & …IRLIIS& …如果RL太小,RL上的电压 降不够,则会使输出的低 VCC-VOL(max) 电平被抬高到规定值以上, RL≥ IOL-mIIS 出现逻辑错误。 VOL(max)≥VCC - IRLRL ( VIL(max) ) IRL=IOL- mIIS &n …m …An Bn&此处没有nIS :输入为低电平时的电流, I ,因为前级只要有一个门 输出为低,线与结果即为低。 也称短路电流229 例:求上拉电阻大小VCC &RL≤VCC- VOH (min) mIIH+nIOHRL≥VCC-VOL(max) IOL-mIIS& &IOH=200μA; IOL=16mA IIS=1mA; IIH=40μA VCC=5V; VOH(min)=3V; VOL(max)=0.4V RL≤(VCC-VOH (min)) / (2IOH+3IIH) =(5-3)/(2×0.2+9×0.04) =2.63(kΩ)&RL≥(VCC-VOL (max))/(IOL-3IIS) =(5-0.4)/(16-9×1) =0.35(kΩ) RL在允许的范围以内应该尽可能得取较小的值,这样可以 提高门电路的开关速度。可以取RL=1k &230 ⒉三态门电路通常数字逻辑是二值的,即仅0,1值,其所对应电路的输出电平是高、低两种状态。在实际电路中,还有一种输出为高阻抗的状态(既非高 电平又非低电平的状态) ,被称之为第三状态。于是数字电路的输出就有:0、1和Z(高阻)的三种状态。具有这种功能输出的电路称三态逻辑电路 或称三态门电路。231 ⑴电路? ?R1 E D?? ?+5VR4R2?A BT1T2T3T4 R5?FT5?E称为控制端、使能端R3232 ⑵原理截止? ?E=1E 1?R1? ?+5VR4R2?A BT1T2R5?T3 T4?FT5结论:E=1时,电路具备自 身逻辑功能F ? ABR3233 ⑵原理(续)导通?T4截止?E=0E 0?R10.3V+0.7V 1V+5VR4R2? 0.3V+0.7V 1V? T3 ?高阻态T4A BT1T2R5??FT5E=0时,电路输出为 结论: 高阻状态。 F=Z(高阻)R3T2和T5截止234 ⑶符号功能表A B&FE ? 1 F ? ABE ?0输出高阻EAB & F高电平起作用功能表E ? 0 F ? ABEE ?1低电平起作用输出高阻235 ⑷三态门用途 ―― 总线连接电路A1 B1CS1&0分时控制各个门的CS端,就可 以让各个门的输出信号分别进入 总线。A2 B2CS2&1A3 B3CS3同一时刻,只允许一个门进入 总线。其他门必须保持为高阻状 态A4B4&……&F ? A2B2B4注意和OC门线与的区别!!0总线236 ⑷三态门用途(续)――实现数据的双向传输两个三态门组成的电路, 门1为低电平使能 门2为高电平使能E=0,门1导通,门2禁止, 数据从A?BE=1,门2导通,门1禁止, 数据从B?A237 §3 CMOS集成门电路(了解) 知识复习:⒈ MOS管分类PMOS管:结构简单,工作速度低,负电源工作。NMOS管:工艺复杂,正电源工作。 CMOS管:PMOS管和NMOS管组成互补电路。⒉工作区TTL: CMOS: 相当开关电路: 截止 截止 断开 放大 饱和 饱和 非饱和 接通238 ⒊符号及导通条件PMOS管S G D VGS<VTP 时导通 取:VTP =-2V 即:|VGS | > |VTP |= 2V 时导通NMOS管 SVPGDVNVGS>VTN 时导通 取:VTN =2V239 ⒋ CMOS电路的特点⑴静态功耗小。(约10μW) ⑵允许电源电压范围宽。(3?18V) ⑶扇出系数大。(带同类负载N≥50) ⑷抗噪容限大。(Vth=1/2VDD) ⑸速度较低。(tpd=40 nS)最大提供 电流1.5mACMOS电路导通时阻抗较大(&1KΩ),由于分布 电容Co的存在,电平高低变化时充放电较慢,影响其工作速度。240 一、CMOS反相器⒈电路VDDSP GPPMOS管的衬底总是接到 电路的最高电位VPDP DNAGN 偶嗔 做输入端FPMOS管 负载管 漏极相连做输出端VNSNNMOS管 驱动管NMOS管的衬底总是接到 电路的最低电位241 ⒉工作原理设VDD=10V,A=1时,VA=10V A=0时,VA=0 VVgsP=0 VVDD截止VPA A=1VN VgsN=10 VFV0=0V 即F=0 导通242 ⒉工作原理(续)VgsP=-10 VVDD设VDD=10V,A=1时,VA=10V A=0时,VA=0 V导通VPA A=0VN VgsN=0 VFV0=10V 即F=1 截止 A01VPVNF结论:导通 截止截止 导通10243 ⒊电压电流传输特性⑴电压传输特性Vo ? f (Vi ) , iD ? f (Vi )VDD VPAB段:VP导通, VN截止。 VO=VOH≈VDDCD段: VN导通, VP截止。 VO=VOL≈0vO VDD ABA VNFBC段: VP、VN都导通, 1/2VDD VP 、VN内阻变化, VTN VO= VDD?0VTPCMOS反相器的电压传输特 性接近于理想的反相器。CO 1/2VDDD VDDvI244 ⑵电流传输特性: AB段、CD段: 曲线对应VP、VN中只有 一管导通的情况,CMOS 管截止状态的漏极电流极 小,接近于零。iDVTNB CVTPD VDDBC段: A VP、VN两管都导通,工 O 作在饱和区(放大状态), VI=1/2VDD时电阻最小,电 流达到最大值。1/2VDDvI245 VDDVP思考:ARFVN图示电路中,输入端A 具有怎样的逻辑值。 (=1?或 =0?)246 二、CMOS与非门⒈电路VDD负载管并联 驱动管串联VP2 AVP1 F VN2BVN1247 ⒉工作原理设VDD=10V,A=B=1时,VA=VB=10V A=B=0时,VA=VB=0 VVgsP1= VgsP2 = -10 VA=0,B=0: F=1 VN1、VN2:截止 VP1、VP2:导通VDDVP2 A 0VP1 F1VgsN1= VgsN2 = 0 V B0VN2VN1248 ⒉工作原理设VDD=10V,A=B=1时,VA=VB=10V A=B=0时,VA=VB=0 VVDDA=0,B=0: F=1 VN1、VN2:截止VP2VP1 F1VP1、VP2:导通 A=0,B=1: F=1 A 0 VN1、VP2:截止 VP1、VN2:导通VN2B1 VN1249 ⒉工作原理设VDD=10V,A=B=1时,VA=VB=10V A=B=0时,VA=VB=0 VVDDA=0,B=0: F=1 VN1、VN2:截止 VP1、VP2:导通 A=0,B=1: F=1 VN1、VP2:截止 A 1 VP1、VN2:导通 A=1,B=0: F=1 VP1、VN2:截止 VN1、VP2:导通 B 0VP2VP1 F1VN2VN1250 ⒉工作原理设VDD=10V,A=B=1时,VA=VB=10V A=B=0时,VA=VB=0 VA=0,B=0: F=1 VN1、VN2:截止 VP1、VP2:导通 A=0,B=1: F=1 VN1、VP2:截止 VP1、VN2:导通 A=1,B=0: F=1 A 1 VP1、VN2:截止 VN1、VP2:导通 A=1,B=1: F=0 B 1 VP1、VP2:截止 VN1、VN2:导通VDDVP2VP1 F0VN2VN1251 ⒉工作原理(续)A 0 0 1B 0 1 0VP1 导通 导通 截止VP2 导通 截止 导通VN1 截止 截止 导通VN2 截止 导通 截止F 1 1 111截止截止导通导通0负载管逻辑关系:驱动管Y ? AB252____ 三、CMOS或非门⒈电路 负载管串联 驱动管并联VDD A VP1 BVP2FVN1VN2253 ⒉工作原理设VDD=10V,A=B=1时,VA=VB=10V A=B=0时,VA=VB=0 VA=0,B=0: F=1 VN1、VN2:截止 A 0 VP1、VP2:导通VDD VP1B0VgsP1= VgsP2 = -10 V VgsN1= VgsN2 = 0 V VN1 VN2VP2F1254 ⒉工作原理设VDD=10V,A=B=1时,VA=VB=10V A=B=0时,VA=VB=0 VA=0,B=0: F=1 0 VN1、VN2:截止 A VP1、VP2:导通 A=0,B=1: F=0 1 VN1、VP2:截止 B VP1、VN2:导通VDD VP1VP2F0VN1VN2255 ⒉工作原理设VDD=10V,A=B=1时,VA=VB=10V A=B=0时,VA=VB=0 VA=0,B=0: F=1 1 VN1、VN2:截止 A VP1、VP2:导通 A=0,B=1: F=0 0 VN1、VP2:截止 B VP1、VN2:导通VDD VP1A=1,B=0:F=0 VP1、VN2:截止 VN1、VP2:导通VP2F0VN1VN2256 ⒉工作原理A=0,B=0: F=1 VN1、VN2:截止 1 VP1、VP2:导通 A A=0,B=1: F=0 VN1、VP2:截止 1 VP1、VN2:导通 A=1,B=0: F=0 VP1、VN2:截止 VN1、VP2:导通 A=1,B=1: F=0 VP1、VN2:截止设VDD=10V,A=B=1时,VA=VB=10V A=B=0时,VA=VB=0 VVDD VP1BVP2F0VN1、VP2:导通VN1VN2257 ⒉工作原理(续)ABVP1VP2VN1VN2F00 1 101 0 1导通导通 截止 截止导通截止 导通 截止截止截止 导通 导通截止导通 截止 导通10 0 0负载管 逻辑关系:驱动管Y ? A? B258_______ 四、CMOS传输门(TG)1. 电路 VPCg+5V由两个对称的MOS管组成。传输模拟信号的模拟开关: VO≈VI 栅极(g):控制端 源极(S):输入 漏极(D):输出 符号:vISDvOVNgCC-5VvITGvOC259 ⒉工作原理设: VI=-5V ~+5V ⑴ C=-5V , C= +5V:VP : VGSP ≤VTP=-2V 导通条件 VN : VGSN ≥ VTN =2VVgsN ? ?5 ? (?5~+5) ? 0V~ ? 10V ? VTN VgsP ? 5 ? (?5~+5) ? 10V~0V ? VTPs 5V VPCg+5V所以:VP,VN都截止。即: VI无论如何变化,VI, VO VN 之间呈现高阻状态,传输门断开。 g -5VvIvOC-5V260 ⒉工作原理(续1) 设: VI=-5V ~+5V⑵ C=+5V , C= -5V:VP : VGSP ≤VTP=-2V 导通条件 VN : VGSN ≥ VTN =2VVgsN ? 5 ? (?5~+5) ? 10V~0V VN 导通 10V~2V2V~0VVI=-5V ~+3V VN 截止 VI=+3V ~+5V-5V VP sCg+5VVgsP ? ?5 ? (?5~+5) ? 0V~ ? 10V vI VP 截止 0V~? 2VVI=-5V ~ - 3VvOVN 5V g?2V~?10VVP 导通VI= - 3V ~+5VC-5V261 ⒉工作原理(续2)VN管 - 5V 导通 截止 0 导通 +3V +5V 截止vI-5V -3V+5VVP管结论: C=+5V , C= -5V时,在vI= -5V ~+5V范围内, VN和VP 总有一个管子导通,所以 VO≈VI 。262 3. CMOS双向模拟开关⑴由CMOS反相器和 CMOS传输门组成 ⑵MOS管结构对称,漏极 和源极可以互换,CMOS具 有双向传输特性。 ⑶功能: C=1时,传输门导通, 内阻 R=1KΩ。 C=0时,传输门截止, 内阻 R=109Ω。 ⑷运用:双向传输模拟信号。 v C 1vI/vOTGvO/vICI/vOSWvO/vI263 五、CMOS系列器件4000系列 :基本CMOS门电路CC4011 中国造 MC4011 美,摩托罗拉 CD4011 美,无线电公司 HD4011 日,日立公司 (4011:2输入端4与非门)4000B / 4500 / 5000 系列 :改进CMOS门电路 74HC/74HCT系列 :高速CMOS门电路,引脚与TTL兼容 Bi-CMOS (74BCT)系列门电路: 输入部分使用CMOS电路,输出部分使用 TTL电路,同时具有CMOS门电路的低功耗和 TTL电路的高速度,兼容TTL门电路,传输延 迟可以低到1ns以下。264 六、逻辑门电路应用中的几个问题(了解)1. CMOS门电路与TTL门电路的接口 ⑴接口的原则: 无论何种门电路互连,都需要满足电压和电流的接口条件。 驱动门(前) 负载门(后) VOH & VIH VOL & VIL IOL IOH 流 & & nIIL 灌电流 n’IIH 拉电电压接口电流接口265 以上数据是在VCC=VDD=+5V时的值可以看出在TTL门驱动CMOS门时VOH(TTL)&VIH(CMOS),电压不匹配,其 他情况的电压都是匹配的。 CMOS门驱动TTL门时,IOL(CMOS)&IIL(TTL)电流不能匹配,具体电路中 由于负载个数的影响也可能出现不匹配。266 ⑵ TTL门驱动CMOS门 TTL的输出高电平和CMOS的输入高电平不匹配。 1)VDD≈VCC时,外加上拉电阻,把VOH(TTL)拉上来,达到 VIH(CMOS)的要求 VCC R4T3 T4 R5VDD R外加上拉电阻后,TTL门在输出高 电平时T4,T5都截止,输出电压约 为VDD。VOH=VDD-R (IO+IIH)其中Io是T5截止时的漏电流IO IIHT5IO,IIH都很小所以,R一般为 1k~4.7k即可。此时VOH被提 升到接近VDD。267 2)VDD&&VCC时需要上拉到比较高的电压,普通TTL门电路不能承受。 如VDD=15V, 则VIL约11V。这种情况可以使用OC门加上拉电 阻的方法实现。计算方法如前。 还可以使用专门的接口电路如CC40109: VCC VDDR T2 T3 74HCT系列CMOS逻辑门电路 VIH=2V,可以直接驱动268OC门CMOS门 3)加晶体管驱动VCC R4T3VDDRCT4R5 T5TRbT:采用NPN管,β>100 RC:500Ω~1.5K Ω Rb : 4.7K Ω ~ 10K Ω269 ⑶ CMOS门驱动TTL门IOL(CMOS)&IIL(TTL),电流不匹配 1) 并联若干驱动门增加其电流负载能力。2) 使用CMOS驱动器,例如IOL&3.2mA的 CC4010, IOL&16mA的CC40107等。3) 使用分立元件自行搭制电流放大电路(电路同前)。 4)74HCT/74HC系列CMOS门电路可以直接驱动TTL门电路。270 ⒉门电路驱动其它负载⑴驱动LED 关键是要向LED提供足够的电流,才能使LED点亮设LED需要的点亮电流为ID。 VCC R=? 1R=? 拉电流驱动 1TTL门电路IOH很小, 不适于 用拉电流驱动。灌电流驱动V ? VF 拉电流: R ? OH IDVCC ? VF ? VOL 灌电流:R ? ID271 加晶体管驱动LEDVCCRT:采用NPN管,β>100 R:200 Ω ~ 500Ω Rb : 4.7K Ω ~ 10K Ω1 RbT272 ⑵驱动感性负载 感性负载主要指继电器,主要是驱动电流能力不足。 1)可以使用门电路并联的方法。 2)常用晶体管驱动。 反并联二极管防止继 电器断开时产生高反 VCC 压而损坏器件。继电器R1RbTT:采用NPN管,β>100 R:根据选择的继电器来决定 Rb : 4.7K Ω ~ 10K Ω273 ⒊多余端处理原则: 防止干扰信号引入、稳定可靠;不改变电路的工作状态。TTL门电路悬空相当于接高电平; CMOS门电路输入悬空会由于干扰破坏原来的逻辑状态。接高电平(VCC) 与输入(与门、与非门、与或非门): 并联常用方法:悬空(TTL) 或输入(或门、或非门):接地电平(GND)274 ⒋ CMOS器件应用注意⑴采用绝缘栅工艺,易感应电荷,导致器件击穿, 不要触摸管脚,尤其不要摩擦。⑵焊接时人体及工具(电烙铁)要求接地。275 本章要求:1.熟

我要回帖

更多关于 数字电路基础 pdf 的文章

 

随机推荐