半导体封装企业设备里,哪家比较好?

前些天,我国本土半导体设备传来好消息,中微半导体设备(上海)有限公司自主研制的5nm等离子体刻蚀机经台积电验证,性能优良,将用于全球首条5nm制程生产线。刻蚀机是芯片制造的关键装备之一,中微突破关键核心技术,让“中国制造”跻身刻蚀机国际第一梯队。
近年来,我国大陆半导体设备企业一直在努力追赶国际先进脚步。在多种设备领域有一定突破,除了上述中微半导体的5nm等离子体刻蚀机之外,有越来越多的产品可应用于14nm、7nm制程。
但是,国内设备与国外先进设备相比仍有较大差距,主要表现在两方面:一是有一定竞争力的产品在领先制程上的差距;二是部分产品完全没有竞争能力或尚未布局,比如国内光刻机落后许多代际,仅能达到90nm的光刻要求,国内探针台也处于研发阶段,尚未实现销售收入。
那么,在国家的扶持下,经过这么多年的发展,我国本土半导体设备各个细分领域的发展情况如何呢?相关企业都有哪些?发展到了什么程度呢?下面就来梳理一下。    北方华创
北方华创由七星电子和北方微电子战略重组而成。七星甴子主营清洗机、氧化炉、 气体质量控制器(MFC)等半导体装备及精密甴子元器件等业务,此外七星甴子还是国内真空设备、 新能源锂甴装备重要供应商。北方微甴子主营刻蚀设备(Etch)、物理气相沉积设备(PVD)、化学气相沉积设备(CVD)三类设备。
2010 年 3 月,七星甴子在深交所上市。 2016 年 8 月,七星甴子与北方微甴子实现战略重组,成为中国规模最大、产品体系最丰富、涉及领域最广的高端半导体工艺设备供应商,开成功引迚国家集成甴路产业基金(大基金)等战略投资者,实现了产业与资本的融合。 公司实际控制人是北京甴控,隶属于国资委。
2017 年 2 月,七星甴子正式更名为北方华创 科技 集团股仹有限公司,完成了内部整合,推出全新品牉“北方华创”,开形成了半导体装备、真空装备、新能源锂甴装备和高精密甴子元器件四大业务板块加集团总部的“4+1”经营管理模式。
北方华创的半导体装备亊业群主要包括刻蚀机、 PVD、 CVD、氧化炉、扩散炉、清洗机及质量流量控制器(MFC)等 7 大类半导体设备及零部件,面向集成甴路、先进封装等 8 个应用领域,涵盖了半导体生产前段工艺制程中的除光刻机外的大部分兲键装备。 客户包括中芯国际、华力微甴子、长江存储等国内一线半导体制造企业,以及长甴 科技 、 晶斱 科技 、华天 科技 等半导体封装厂商。
重组之后,北方华创业绩快速增长。2017 年实现营业收入 22.23 亿元,同比增长37.01%,归母净利润 1.26 亿元,同比增长 35.21%。 根据公司 2018 年半年报业绩快报,2018 年上半年公司实现营业收入13.95 亿元,同比增长 33.44%, 归母净利润 1.19 亿元,同比增长 125.44%。 随着下游晶圆厂投资加速, 公司半导体设备等觃模持续扩张。
长川 科技
长川 科技 是国内集成电路封装测试、晶圆制造及芯片设计环节测试设备主要供应商。 半导体测试设备主要包括分选机、 测试机和探针台三大类。自2008年4月成立以来,该公司率先实现了半导体测试设备(分选机和测试机) 的国产化, 并获得国内外众多一流集成电路企业的使用和认可。
该公司于 2012 年 2 月承担并完成国家“十二五”规划重大专项“极大规模集成电路制造装备及成套工艺”中的高端封装设备与材料应用工程项目,并于 2015 年 3 月获得国家集成电路产业基金投资。
该公司的测试机和分选机在核心性能指标上已达到国内领先、接近国外先进水平,同时售价低于国外同类型号产品,具备较高的性价比优势。 公司产品已进入国内主流封测企业, 如天水华天、 长电 科技 、 杭州士兰微、 通富微电等。 2017 年,该公司对外积极开拓市场, 设立台湾办事处,拓展台湾市场。
2013~2017年,长川 科技 营收实现了由 4,341 万元到 1.80 亿元的跨越,复合增速达39.75%。 2017 年,归属母公司净利润由992万元增长至 5,025 万元, 复合增速达31.48%。
中微半导体
中微半导体成立于 2004 年,是一家微加工高端设备公司, 经营范围包括研发薄膜制造设备和等离子体刻蚀设备、大面积显示屏设备等。该公司管理层技术底蕴深厚,大多有任职于应用材料、LAM和英特尔等全球半导体一流企业的经验。
中微半导体先后承担并圆满完成 65-45 纳米、 32-22 纳米、22-14 纳米等三项等离子介质刻蚀设备产品研制和产业化。 公司自主研发的等离子体刻蚀设备 Primo D-RIE 可用于加工 64/45/28 纳米氧化硅、氮化硅等电介质材料,介质刻蚀设备 Primo AD-RIE 可用于 22nm 及以下芯片加工,均已进入国内先进产线。中微半导体的介质刻蚀机已经完成了5nm 的生产。
晶盛机电
晶盛机电是一家专业从事半导体、光伏设备研发及制造的高新技术企业,是国内技术领先的晶体硅生长设备供应商。该公司专注于拥有自主品牌的晶体硅生长设备及其控制系统的研发、制造和销售,先后开发出拥有完全自主知识产权的直拉式全自动晶体生长炉、铸锭多晶炉产品。
该公司立足于“提高光电转化效率、降低发电成本”的光伏技术路线,实现了硅晶体生长“全自动、高性能、高效率、低能耗”国内领先、国际先进的技术优势。全自动单晶炉系列产品和 JSH800 型气致冷多晶炉产品分别被四部委评为国家重点新产品。同时公司积极向光伏产业链装备进行延伸,2015 年成功开发并销售了新一代单晶棒切磨复合一体机、单晶硅棒截断机、多晶硅块研磨一体机、多晶硅块截断机等多种智能化装备,并布局高效光伏电池装备和组件装备的研发。
该公司的晶体生长设备特别是单晶硅生长炉销售形势较好,主要是单晶光伏的技术路线获得认可,随着下游厂商的扩产,单晶的渗透率也逐步提升,带来对单晶硅生长炉的需求增加,该类产品收入已经占营业收入的 81%。
该公司主营业务伴随国内光伏产业的上升发展,给主营业务收入和利润带来显着增长,近两年的增长率均在 80%以上,另外,其毛利率水平和净利率水平也基本维持稳定。
上海微电子
上海微电子装备有限公司成立于2002年,主要致力于大规模工业生产的投影光刻机研发、生产、销售与服务,该公司产品可广泛应用于IC制造与先进封装、MEMS、TSV/3D、TFT-OLED等制造领域。    该公司主要产品包括:
600扫描光刻机系列—前道IC制造
基于先进的扫描光刻机平台技术,提供覆盖前道IC制造90nm节点以上大规模生产所需,包含90nm、130nm和280nm等不同分辨率节点要求的ArF、KrF及i-line步进扫描投影光刻机。该系列光刻机可兼容200mm和300mm硅片。
500步进光刻机系列—后道IC、MEMS制造
基于先进的步进光刻机平台技术,提供覆盖后道IC封装、MEMS/NEMS制造的步进投影光刻机。该系列光刻机采用高功率汞灯的ghi线作为曝光光源,其先进的逐场调焦调平技术对薄胶和厚胶工艺,以及TSV-3D结构等具有良好的自动适应性,并通过采用具有专利的图像智能识别技术,无需专门设计特殊对准标记。该系列设备具有高分辨率、高套刻精度和高生产率等一系列优点,可满足用户对设备高性能、高可靠性、低使用成本(COO)的生产需求。
200光刻机系列—AM-OLED显示屏制造
200系列投影光刻机综合采用先进的步进光刻机平台技术和扫描光刻机平台技术,专用于新一代AM-OLED显示屏的TFT电路制造。该系列光刻机不仅可用于基板尺寸为200mm × 200mm的工艺研发线,也可用于基板尺寸为G2.5(370mm × 470mm)和G4.5(730mm × 920mm)的AM-OLED显示屏量产线。
硅片边缘曝光机系列——芯片级封装工艺应用
SMEE开发的硅片边缘曝光机提供了满足芯片级封装工艺中对硅片边缘进行去胶处理的能力,设备可按照客户要求配置边缘曝光宽度、硅片物料接口形式、曝光工位等不同形式。设备同时兼容150mm、200mm和300mm等三种不同规格的硅片,边缘曝光精度可到达0.1mm。设备配置了高功率光源,具有较高的硅片面照度,提高了设备产率。
至纯 科技
至纯 科技 成立于 2000 年, 主要为电子、生物医药及食品饮料等行业的先进制造业企业提供高纯工艺系统的整体解决方案, 产品为高纯工艺设备和以设备组成的高纯工艺系统,覆盖设计、加工制造、安装以及配套工程、检测、厂务托管、标定和维护保养等增值服务。
该公司在 2016年前产品约一半收入来自医药类行业,光伏、 LED 行业及半导体行业收入占比较小。 2016年以来,公司抓住半导体产业的发展机遇,逐步扩大其产品在半导体领域的销售占比, 2016和 2017 年来自半导体领域收入占公司营业收入比重分别为 50%和 57%,占据公司营业收入半壁江山。主攻半导体清洗设备。
该公司于 2015 年开始启动湿法工艺装备研发, 2016 年成立院士工作站, 2017 年成立独立的半导体湿法事业部至微半导体,目前已经形成了 UltronB200 和 Ultron B300 的槽式湿法清洗设备和 Ultron S200 和 Ultron S300 的单片式湿法清洗设备产品系列, 并取得 6 台的批量订单。    精测电子
武汉精测电子技术股份有限公司创立于 2006 年 4 月,并于 2016 年 11 月在创业板上市。公司主要从事平板显示检测系统的研发、生产与销售,在国内平板显示测试领域处于绝对领先地位, 主营产品包括:模组检测系统、面板检测系统、OLED 检测系统、AOI光学检测系统和平板显示自动化设备。近几年来,该公司积极对外投资,设立多家子公司,业务规模迅速扩张,进一步完善了产业布局。
该公司成立初期主要专注于基于电讯技术的信号检测,是国内较早开发出适用于液晶模组生产线的 3D 检测、基于 DP 接口的液晶模组生产线的检测和液晶模组生产线的 Wi-Fi 全无线检测产品的企业,目前该公司的 Module 制程检测系统的产品技术已处于行业领先水平。
2014 年,精测电子积极研发 AOI 光学检测系统和平板显示自动化设备,引进了宏濑光电和台湾光达关于 AOI 光学检测系统和平板显示自动化设备相关的专利等知识产权,使其在 Array制程和 Cell 制程的检测形成自有技术,初步形成了“光、机、电”技术一体化的优势。
精测电子2018年上半年财务报告显示,该公司收入主要来自 AOI 光学检测系统业务,占比 45.49%,毛利占比 41.94%;其次是模组检测系统业务,收入占比 23.33%,毛利占比 27.68%; OLED 检测系统和平面显示自动化设备收入占比分别为 14.29%和12.30%,毛利占比为 14.26%和 10.28%。    电子 科技 集团45所
中国电子 科技 集团公司第45研究所创立于1958年,2010年9月,中央机构编制委员会办公室批准45所第一名称更改为“北京半导体专用设备研究所”,第二名称仍保持“中国电子 科技 集团公司第四十五研究所”不变。
45所是国内专门从事军工电子元器件关键工艺设备技术、设备整机系统以及设备应用工艺研究开发和生产制造的国家重点军工科研生产单位。
45所以光学细微加工和精密机械与系统自动化为专业方向,以机器视觉技术、运动控制技术、精密运动工作台与物料传输系统技术、精密零部件设计优化与高效制造技术、设备应用工艺研究与物化技术、整机系统集成技术等六大共性关键技术为支撑,围绕集成电路制造设备、半导体照明器件制造设备、光伏电池制造设备、光电组件制造和系统集成与服务等五个重点技术领域,开发出了电子材料加工设备、芯片制造设备、光/声/电检测设备、化学处理设备、先进封装设备、电子图形印刷设备、晶体元器件和光伏电池等八大类工艺设备和产品,服务于集成电路、光电元器件与组件、半导体照明和太阳能光伏电池四大行业.    上海睿励
睿励科学仪器(上海)有限公司是于2005年创建的合资公司,致力于研发、生产和销售具有自主知识产权的集成电路生产制造工艺装备产业中的工艺检测设备。主要生产用于65/28/14nm制程工艺控制的膜厚测量设备。
沈阳芯源
沈阳芯源微电子设备有限公司成立于2002年,由中科院沈阳自动化研究所引进国外先进技术投资创建。
芯源公司自主开发的单片匀胶机、显影机、喷胶机、去胶机、清洗机、湿法刻蚀机等设备广泛应用于半导体、先进封装、MEMS、LED等领域。
1.LED领域匀胶显影机:应用于LED芯片制造、PSS(图形化衬底)、MEMS、HCPV(高聚光型太阳能电池)、Waveguide(光波导)工艺的匀胶显影等工艺制程。
2.高端封装全自动涂胶显影机:广泛应用于先进封装BGA、Flip-Chip、WSP、CSP制程的高黏度PR、PI、Epoxy的涂敷、显影工艺制程。
3.高端封装全自动喷雾式涂胶机: 广泛应用于TSV、MEMS、WLP等工艺制程。
4.单片湿法刻蚀机/去胶机/清洗机:广泛应用于先进封装BGA、Flip-Chip、WSP、CSP制程的刻蚀、去胶、清洗工艺制程。
5.前道堆叠式全自动涂胶显影机:应用于90nm光刻工艺、BARC涂覆、SOC、SOD、SOG等工艺制程。    盛美半导体
盛美半导体(ACM Research)是国内半导体清洗设备主要供应商,于1998年在美国硅谷成立,主要研发电抛光技术,2006 年成立上海子公司,专注于半导体清洗设备。2017年11月4日公司在美国纳斯达克上市。2017年公司营业收入3650万美元,同比增长33.2%,其中90%以上的营业收入来自于半导体清洗设备。2017 年研发投入占营业收入比例为14.1%。
由于声波清洗可能会造成晶片损伤,行业公司大多转向研发其他技术,盛美半导体另辟蹊径研发出空间交变相移兆声波清洗(SAPS)和时序能激气泡震荡兆声波清洗(TEBO)两项专利技术,可以实现无伤清洗。公司的清洗设备目前已经进入 SK 海力士、长江存储和上海华力等先进产线。    天津华海清科
天津华海清科机电 科技 有限公司成立于2013年,是天津市政府与清华大学践行“京津冀一体化”国家战略,为推动我国化学机械抛光(CMP)技术和设备产业化成立的高 科技 企业。
华海清科主要从事CMP设备和工艺及配套耗材的研发、生产、销售与服务,核心团队成员来自清华大学摩擦学国家重点实验室及业内专业人才,产品可广泛应用于极大规模集成电路制造、封装、微机电系统制造、晶圆平坦化、基片制造等领域。
中电科装备
中电科电子装备集团有限公司成立于2013年,是在中国电子 科技 集团公司2所、45所、48所基础上组建成立的二级成员单位,属中国电子 科技 集团公司独资公司,注册资金21亿元,该公司是我国以集成电路制造装备、新型平板显示装备、光伏新能源装备以及太阳能光伏产业为主的科研生产骨干单位,具备集成电路局部成套和系统集成能力以及光伏太阳能产业链整线交钥匙能力。
多年来,利用自身雄厚的科研技术和人才优势,形成了以光刻机、平坦化装备(CMP)、离子注入机、电化学沉积设备(ECD)等为代表的微电子工艺设备研究开发与生产制造体系,涵盖材料加工、芯片制造、先进封装和测试检测等多个领域;通过了ISO9001、GJB9001A、UL、CE、TüV、NRE等质量管理体系与国际认证。    沈阳拓荆
沈阳拓荆 科技 有限公司成立于2010年4月,是由海外专家团队和中科院所属企业共同发起成立的国家高新技术企业。拓荆公司致力于研究和生产薄膜设备,两次承担国家 科技 重大专项。2016年、2017年连续两年获评“中国半导体设备五强企业”。
该公司拥有12英寸PECVD(等离子体化学气相沉积设备)、ALD(原子层薄膜沉积设备)、3D NAND PECVD(三维结构闪存专用PECVD设备)三个完整系列产品,技术指标达到国际先进水平。产品广泛应用于集成电路前道和后道、TSV封装、光波导、LED、3D-NAND闪存、OLED显示等高端技术领域。    华海清科
天津华海清科机电 科技 有限公司成立于2013年,是天津市政府与清华大学践行“京津冀一体化”国家战略,为推动我国化学机械抛光(CMP)技术和设备产业化成立的高 科技 企业。
华海清科主要从事CMP设备和工艺及配套耗材的研发、生产、销售与服务,核心团队成员来自清华大学摩擦学国家重点实验室及业内专业人才,产品可广泛应用于极大规模集成电路制造、封装、微机电系统制造、晶圆平坦化、基片制造等领域。
以上就是我国大陆地区的主要半导体设备生产企业。
随着我国半导体产业的快速发展,对半导体设备的需求量越来越大,而本土半导体设备企业面临着供给与需求错配的情况。一方面,国内的半导体设备需求随着下游产线的扩张而迅速增加,大陆的半导体设备需求占全球半导体设备需求的比重较高;但另一方面,本土的设备供给存在着水平较为落后,国产化率不高的情况。
针对这一情形,在国家的大力支持下,国内设备企业需要积极布局,以在各细分设备领域实现突破。北京国资旗下半导体资产有哪些雪球北京国资旗下半导体资产有哪些雪球组合1、智慧投资组合:智能半导体,智能电子,智能系统,智能机器人,智能电源,智能汽车,智能家居,智能医疗,智能安防,智能物联网,智能消费,智能能源,智能智能制造,智能物流,智能金融,智能交通,智能农业,智能教育,智能娱乐,智能服务,智能物联网,芯片技术,芯片制造,芯片设计,芯片应用,芯片研发,芯片产业,芯片分销,芯片技术服务,芯片技术交流,芯片行业投资,芯片市场分析,芯片设计软件,芯片测试设备,芯片原材料,芯片制造设备,芯片设计服务,芯片测试服务,芯片封装服务,芯片分析服务,芯片认证服务,芯片技术支持服务,芯片设计工具,芯片分析工具,芯片调试工具,芯片认证工具,芯片测试工具等。2、智能科技组合:智能硬件、智能芯片、智能传感器、智能机器人、智能汽车、智能家居、智能物联网、智能电源、智能安防、智能能源、智能视频、智能医疗、智能金融、智能教育、智能娱乐、智能服务、智能制造、智能物流、智能交通、智能农业等。3、新材料组合:石墨烯、碳纳米管、金属氧化物半导体、有机硅半导体、硅基薄膜太阳能电池、高分子半导体、超级电容器、超级电容电池、聚合物发光二极管、有机发光二极管、磁性薄膜等。1、格尔软件:公司目前已经成为了国内三大半导体封测厂商模拟测领域的主力平台供应商。2、东方中科:国内领先的电子测量仪器综合服务商,华为海思半导体作为公司的前五大客户。3、紫光国微: 紫光国芯微电子股份有限公司(简称“紫光国微”,SZ002049)是紫光集团有限公司旗下的半导体行业上市公司,专注于集成电路芯片设计开发业务,是领先的集成电路芯片产品和解决方案提供商,产品及应用遍及国内外,在智能安全芯片、高稳定存储器芯片、安全自主FPGA、功率半导体器件、超稳晶体频率器件等核心业务领域已形成领先的竞争态势和市场地位。4、宏达电子:为保证产品的质量、保持技术先进水平和促使产品升级换代,公司将重点发展高端半导体功率器件及模块、新型高可靠电子元件,为重点工程和武器装备提供保障,并对有机聚合物片式钽电容器生产线、有机聚合物片式铝电解电容器生产线、宇航级非固体电解质钽电容生产线、薄膜电容器生产线和多层瓷介电容器生产线等生产线进行建设或改造,提高产品的可靠性,扩大产能,满足市场需求。5、比亚迪:经过十余年的研发积累和于新能源汽车领域的规模化应用,比亚迪半导体已成为国内自主可控的车规级IGBT领导厂商。6、西部材料:2019年6月11日互动平台称公司生产的电子级多晶硅生产设备用银/钢复合板、离子注入设备用钨钼深加工器件等产品,以及正在研制的半导体存储器用高纯钨溅射靶材等,均间接应用于半导体芯片(包括5G芯片)等领域。拓展资料:一、选样范围和样本股数量● 半导体50是追踪中国A股市场半导体行业上市公司的股价表现,要求相关公司经营范围涵盖半导体材料、设备、设计、制造、封装和测试。成分股数量是50只。● 半导体选取中证全指样本股中的半导体产品与设备行业股票,成分股数量是32只。● 半导体芯片选择的是A股市场中,芯片材料、设备、设计、制造、封装和测试相关股票,成分股数量是25只。● 可以看到,这三个指数的选样范围覆盖半导体的全产业链,但是成分股数量不同。半导体芯片的成分股数量最少,仅25只,半导体50的成分股数量最多,达到50只。二.板块分布从板块分布上看,这三个指数在创业板上分布的比例均较大,约达到45%左右。在中小板上,半导体50的比例稍大一些,为26%,其它两个为20%左右,三个指数在主板上分布的比例约为30%。三.市值分布● 从选出的样本结果来看,在市值分布上,半导体芯片的市值更大,而半导体50和半导体的市值偏小。截止2月18日,半导体50的平均市值为265亿元,半导体的平均市值为282亿元,半导体芯片的平均市值为487亿元。● 从具体的分布上看,半导体芯片的成分股中,千亿市值以上的股票占比达到20%,百亿市值以下的股票占比达到8%,而在半导体50及半导体指数中,百亿市值以下的股票占比达到32%和28%。四.重仓股从重仓股来看,三个指数的前十大重仓股有6只是一样的,重合度非常高,前两大重仓股均为存储芯片龙头“兆易创新”和指纹识别芯片的龙头“汇顶科技”。而从两两比较来看,半导体50与半导体,半导体50与半导体芯片的前10大重仓股有8只是重合的。从前十大重仓股占比来看,半导体芯片占比较高,达到73.48%,说明持仓集中度较高。而半导体50的前十大重仓股占比仅为54.97%。欢迎分享,转载请注明来源:内存溢出原文地址:https://outofmemory.cn/dianzi/8625136.html
(报告出品方/分析师:长江证券 杨洋 钟智铧 韩字杰)Chiplet:芯片异构在制造层面的效率优化实际上,Chiplet 最初的概念原型出自 Gordon Moore 1965年的论文《Cramming more components onto integrated circuits》;Gordon Moore 在本文中不仅提出了著名的摩尔定律,同时也指出“用较小的功能构建大型系统更为经济,这些功能是单独封装和相互连接的”。2015年,Marvell 周秀文博士在 ISSCC 会议上提出 MoChi(Modular Chip,模块化芯片)概念,为 Chiplet 的出现埋下伏笔。我们认为,现代信息技术产业的发展不是探索未知的过程,而是需求驱动技术升级,Chiplet 技术的出现是产业链在生产效率优化需求下的必然选择。Chiplet 的基础:异构与高速互联共同塑造的里程碑计算机能够根据一系列指令指示并且自动执行任意算术或逻辑操作串行的设备。日常生活中,我们所使用的任何电子系统都可以看作一个计算机,如:电脑、手机、平板乃至微波炉、遥控器等都包含了计算机系统作为核心控制设备。Chiplet 出现离不开两个大的趋势:1)计算机系统的异构、集成程度越来越高为了便于理解产业界为何一定要选择 Chiplet,本报告从计算机体系结构的角度出发,本报告将首先理清计算机体系结构的一个重要发展思路——异构计算。如同现代经济系统一样,现代经济系统为了追求更高的产出效率,产生了极为庞大且复杂的产业分工体系,计算机系统的再分工就是异构计算。GPU、DPU 的出现就是为了弥补 CPU 在图形计算、数据处理等方面的不足,让 CPU 能够专注于逻辑的判断与执行,这就是计算机系统(System)。精细化的分工也使得整个体系变得庞大,小型计算设备中只能将不同的芯片集成到一颗芯片上,组成了 SoC(System on Chip)。伴随着计算机在人类现代生活中承担越来越多的处理工作,计算机体系结构的异构趋势会愈发明显,需要的芯片面积也会越来越大,同时也需要如电源管理 IC 等芯片与逻辑芯片异质集成,而 SoC 作为一颗单独的芯片,其面积和加工方式却是受限的,所以 SoC 并不是异构的终极解决方案。2)芯片间的数据通路带宽、延迟问题得到了产业界的解决芯片的工作是执行指令,处理数据,芯片间的互联需要巨大的带宽和超低的延时。既然单颗芯片的面积不能无限增加,将一颗芯片拆解为多颗芯片,分开制造再封装到一起是一个很自然的想法。芯片间的互联需要构建强大的数据通路,即超高的频率、超大的带宽、超低的延时,以台积电 CoWoS 技术为代表的先进封装技术也使之得到了解决。2022 年 3 月,Apple 发布了 M1 Ultra 芯片,其采用了 UltraFusion 封装架构,通过两枚 M1 Max 晶粒的内部互连。架构上,M1 Ultra 采用了 20 核中央处理器,由 16 个高性能核心和 4 个高能效核心组成。与市面上功耗范围相近的 16 核 CPU 芯片相比,M1 Ultra 的性能高出 90%。两颗 M1 Max 的高速互联是苹果芯片实现领先的关键,苹果的 UltraFusion 架构利用硅中介层来连接多枚芯片,可同时传输超过 10,000 个信号,从而实现高达 2.5TB/s 低延迟处理器互联带宽。AMD 为缓解“存储墙”问题,在其 Zen 3 架构的锐龙 7 5800X3D 台式处理器率先采用 3D 堆叠 L3 高速缓存,使 CPU 可访问高达 96MB L3 级高速缓存,大幅提升芯片运算效率。3)异构集成+高速互联塑造了 Chiplet 这一芯片届的里程碑综上,Chiplet 本身并非技术突破,而是多项技术迭代进步所共同塑造的里程碑,芯片龙头企业仍拥有话语权;因此,Chiplet 技术短期内并不会给行业带来太多直接的影响和变化,但长期来看必将改变全球集成电路行业生态。同时,由于 Chiplet 在设计、制造、封装等多个环节具备成熟的技术支撑,其推进也将十分迅速。Chiplet 的需求:设计、生产环节的效率优化技术服务于需求,Chiplet 的出现,缓解了算力对晶体管数量的依赖与晶圆制造端瓶颈的矛盾。如前文所言,导致 Chiplet 技术出现的需求决定了它对行业产生的影响大小。随着现代数据处理任务对算力需求的不断提高,本质上,算力提升的核心是晶体管数量的增加。作为 Intel 的创始人之一,Gordon Moore 在最初的模型中就指明,无论是从技术的角度还是成本的角度来看,单一芯片上的晶体管数量不能无限增加;因此,业内在致力于提升晶体管密度的同时,也在尝试其他软硬件方式来提高芯片运行效率,如:异构计算、分布式运算等等。Chiplet 是异构计算的延申,主要解决了芯片制造层面的效率问题。随着制程缩进,芯片制造方面出现了两个大的瓶颈:1)28nm 以后,高制程芯片的晶体管性价比不再提升;2)芯片设计费用大幅增长,先进制程芯片设计的沉没成本高到不可接受。关于 Chiplet 如何提高设计、生产环节的效率,以及对 EDA、IC 设计等行业的影响,我们在此前的报告《Chiplet 技术:成长新至,换道前行》中进行了深入的探讨:(1)基于小芯片的面积优势,Chiplet 可以大幅提高大型芯片的良率、提升晶圆面积利用效率,降低成本;(2)基于芯片组成的灵活性,将 SoC 进行 Chiplet 化之后,不同的核心/芯粒可以选择合适的工艺制程分开制造,然后再通过先进封装技术进行封装,不需要全部都采用先进的制程在一块晶圆上进行一体化制造,这样可以极大的降低芯片的制造成本;(3)基于小芯片 IP 的复用性和已验证特性,将大规模的 SoC 按照不同的功能模块分解为模块化的芯粒,减少重复的设计和验证环节,可以降低设计的复杂度和设计成本,提高产品迭代速度。尽管在总的制造成本上有所优化,但由于先进封装在 Chiplet 制造过程中扮演了更加重要的角色,因此封测企业或将在 Chiplet 趋势下深度受益。Chiplet 的封装:核心是实现高速互联Chiplet 封装领域,目前呈现出百花齐放的局面。Chiplet 的核心是实现芯片间的高速互联,同时兼顾多芯片互联后的重新布线。因此,UCIE 联盟在具体的封装方式上未对成员做出严格限制,根据 UCIE 联盟发布的 Chiplet 白皮书,UCIE 联盟支持了市面上主流的四种封装方式,分别为:1)标准封装:将芯片间的金属连线埋入封装基板中。2)利用硅桥连接芯片,并将硅桥嵌入封装基板中,如:Intel EMIB 方案。3)使用硅中介层(Si Interposer)连接芯片并进行重新布线,再将硅中介层封装到基板上,如:台积电 CoWoS 方案。4)使用扇出型中介层进行重布线,仅在芯片连接处使用硅桥连接,如:日月光 FOCoS-B 方案。目前而言,台积电凭借其在晶圆代工领域的优势,其 CoWoS 技术平台已服务多家客户,也迭代了多个批次,初具雏形:台积电 CoWoS 平台的核心在于硅中介层,其生产主要通过在硅片上刻蚀 TSV 通孔实现,技术难点主要实现高深宽比的通孔和高密度引脚的对齐。Die 与 Interposer 生产好之后,交由封装厂进行封装。我们认为,Chiplet 在封装层面的技术核心是作为芯片间的互联,其能够实现的芯片间数据传输速度、延迟是技术竞争力的关键,同时方案的稳定性、普适性也将深刻影响其长期的发展空间。全球格局:两大阵营,群雄逐鹿实现 Chiplet 所依靠的先进封装技术在产业链内仍然未实现统一,主要分为晶圆厂阵营和封装厂阵营:晶圆厂阵营以硅片加工实现互联为主,可提供更高速的连接和更好的拓展性;封装厂阵营则努力减少硅片加工需求,提出更有廉价、更有性价比的方案。台积电:整合 3DFabric 平台,实现丰富拓扑结构组合在 2.5D 和 3D 先进封装技术方面,台积电已将 2.5D 和 3D 先进封装相关技术整合为 “3DFabric”平台,由客户自由选配,前段技术包含 3D 的整合芯片系统(SoIC InFO-3D),后段组装测试相关技术包含 2D/2.5D 的整合型扇出(InFO)以及 2.5D 的 CoWoS 系列家族。2.5D 方面,台积电提供包含 CoWoS 及 InFO 两种大方案。其中,CoWoS 包含CoWoS-S、CoWoS-R 及 CoWoS-L 三种封装方式。 CoWoS-S 采用硅中介层,利用硅片作为中介层连接小芯片。与其他方案相比,大面积硅片作为中介层的方案可提供更高密度的芯片互联,但价格上也更贵。 CoWoS-R 使用有机转接板以降低成本,其封装方案与部分封测厂提供的方式一致,有机转接板可实现的互联密度更低。 CoWoS-L 使用插入有机转接板中的小硅“桥”,仅在芯片互联部分使用硅片,用于相邻芯片边缘之间的高密度互连。这种实现互联方式在成本和性能上处于 CoWoS-R 和 CoWoS-S 之间。InFO 方面,台积电在临时载体上精确(面朝下)放置后,芯片被封装在环氧树脂“晶圆”中,再分布互连层被添加到重建的晶圆表面,将封装凸块直接连接到再分配层,主要包括 InFO_PoP(主要用于移动平台)、InFO_oS(主要用于 HPC 客户)及 InFO_B(InFO_PoP 的替代方案)三种拓扑。台积电更先进的垂直芯片堆叠 3D 拓扑封装系列被称为“系统级集成芯片”(SoIC),利用芯片之间的直接铜键合,具有更小间距。三星:3D IC 封装方案强化 Chiplet 代工产业布局三星由1990年起开启封装技术研发,目前通过 SiP 实现高端封装技术演进,主要技术趋势汇总如下:2020 年 8 月,三星公布了 X Cube 3D 封装技术(全称为 extended cube,意为拓展立方体)。在芯片互连方面,使用了成熟的硅通孔 TSV 工艺。目前 X Cube 已经能把 SRAM 芯片堆叠在三星生产的 7nm EUV 工艺的逻辑芯片上,这样可以更易于扩展 SRAM 的容量,同时也缩短了信号连接距离,以提升数据传输的速度和提高能效。此后发布 I-Cube 将一个或多个逻辑 die 和多个 HBM die 水平放置在硅中介层,进行异构集成。日月光:FOCoS 方案力争减硅,降低成本日月光的 FOCoS 提供了一种用于实现小芯片集成的硅桥技术,称为 FOCoS-B(桥),它利用带有路由层的微小硅片作为小芯片之间的封装内互连,例如图形计算芯片 (GPU) 和高带宽内存 (HBM)。硅桥嵌入在扇出 RDL 层中,是一种可以不使用硅中介层的 2.5D 封装方案。FOCoS 的硅桥在封装中提供超细间距互连,可以解决系统中的内存带宽瓶颈挑战。与使用硅中介层的 2.5D 封装相比,FOCoS-B 的优势在于只需要将两个小芯片连接在一起的区域使用硅片,可大幅降低成本。Amkor:深度布局 TSV-less工艺 Amkor 方面,公司 2015 年推出 SLIM 及 SWIFT 解决方案;且持续进行技术布局,具 备 2.5D/3D TSV 封装能力。TSV-less 工艺可被用于建立先进 3D 结构。SLIM 及 SWIFT 方案均采用 TSV-less 工艺,简化了 2.5D TSV 硅中介层运用时 PECVD 及 CMP 工序。以 SWIFT(Silicon Wafer Integrated Fan-Out Technology)方案为例,方案采用 RDL first 技术,RDL 线宽线距能力≤2um,μbump pitch 40um,SWIFT 封装可实现多芯片集成的 3D POP 封装以及无需 TSV(TSV-Less)具有成本优势的 HDFO 高密度扇出型封装,适用于高性能 CPU/GPU,FPGA,Mobile AP 以及 Mobile BB 等。3D SWIFT 的独特特性要部分归功于与此项创新晶圆级封装技术相关的小间距功能。它使应用积极主动的设计规则成为现实,有别于传统的 WLFO 和基于层压板的封装,且能够被用于建立先进的 3D 结构,以应对新兴移动和网络应用中日益高涨的 IC 集成需求。长电科技:国内封装龙头,TSV-less 路线引领长电科技聚焦关键应用领域,在 5G 通信类、高性能计算、消费类、汽车和工业等重要领域拥有行业领先的半导体先进封装技术(如 SiP、WL-CSP、FC、eWLB、PiP、PoP 及 XDFOI 系列等)以及混合信号/射频集成电路测试和资源优势,并实现规模量产,能够为市场和客户提供量身定制的技术解决方案。XDFOI 方案:TSV-less 路线实现高性价比 Chiplet 封装面向 Chiplet 异构集成应用推出 XDFOI 封装解决方案,涵盖 2D/2.5D/3D 集成技术。在 2.5/3D 集成技术领域,长电科技积极推动传统封装技术的突破,率先在晶圆级封装、倒装芯片互连、TSV 等领域中采用多种创新集成技术,以开发差异化的解决方案。公司于 2021 年 7 月推出了 XDFOI 全系列极高密度扇出型封装解决方案,该技术是一种面 向 Chiplet 应用的极高密度、多扇出型封装高密度异构集成解决方案,包括 2D/2.5D/3D 集成技术,能够为客户提供从常规密度到极高密度,从极小尺寸到极大尺寸的一站式服务。XDFOI 方案预计于 2022H2 实现量产,相比 2.5D TSV,XDFOI 具备更高性能、更高可靠性以及更低成本等特性。XDFOI 为一种以 2.5D TSV-less 为基本技术平台的封装技术,在设计上,该技术可实现 3-4 层高密度的走线,其线宽/线距最小可达 2μm,可实现多层布线层,另外,采用了极窄节距凸块互联技术,封装尺寸大,可集成多颗芯片、高带宽内存和无源器件。长电科技已完成超高密度布线并开始客户样品流程,预计 2022H2 量产,重点应用领域为高性能运算如 FPGA、CPU/GPU、AI、5G、自动驾驶、智能医疗等。长电科技的无硅通孔扇出型晶圆级高密度封装技术,可在硅中介层(Si Interposer)中使用堆叠通孔技术(Stacked VIA)替代 TSV 技术。该技术可以实现多层 RDL 再布线层,2×2um 的线宽间距,40um 极窄凸块互联,以及多层芯片叠加。此外,XDFOI 技术所运用的极窄节距凸块互联技术,还能够实现 44mm×44mm 的封装尺寸,并支持在其内部集成多颗芯片、高带宽内存和无源器件。这些优势可为芯片异构集成提供高性价比、高集成度、高密度互联和高可靠性的解决方案。先进封测技术涵盖 4nm 制程,突破国内顶尖封装工艺节点。长电科技 2022 年 7 月公告在进封测技术领域取得新的突破,实现 4nm 工艺制程手机芯片的封装,以及 CPU、GPU 和射频芯片的集成封装。4nm 芯片作为先进硅节点技术,也是导入 Chiplet 封装的一部分,作为集成电路领域的顶尖科技产品之一,可被应用于智能手机、5G 通信、人工智能、自动驾驶,以及包括 GPU、CPU、FPGA、ASIC 等产品在内的高性能计算领域。通富微电:绑定 AMD,晶圆级封装助力Chiplet 全球封测行业龙头,先进封装耕耘优质客户。通富微电成立于 1997 年,并于 2007 年深交所上市,主要从事集成电路封装测试一体化业务。2021 年全球 OSAT 中通富微电位列第五,先进封装方面位列第七。目前,公司技术布局进展顺利,已开始大规模生产 Chiplet 产品,工艺节点方面 7nm 产品实现量产,5nm 产品完成研发。受益于公司在封测技术方面的持续耕耘,目前公司与 AMD、NXP、TI、英飞凌、ST、联发科、展锐、韦尔股份、兆易创新、长鑫存储、长江存储、集创北方及其他国内外各细分领域头部客户建立了良好的合作关系,2021年,国内客户业务规模增长超 100%。不断保稳业务压舱石。深度绑定 AMD,“合资+合作”强强联合。2016 年,通富微电收购 AMD 苏州及 AMD 槟城各 85%股权并完成交割,在江苏苏州、马来西亚槟城拥有生产基地。目前,公司与 AMD 在高性能计算板块形成深度绑定,已经建成国内高端处理器产品最大量产封测基地,优质大客户深度合作发挥协同效应,进一步增强公司业绩确定性。同时,公司充分利用通富超威苏州和通富超威槟城的高端 CPU、GPU 量产封测平台,积极承接国内外 客户高端产品的封测业务。2020 年起公司业绩放量迅速。2015-2021 年间,通富微电营业总收入从 23.22 亿元上 升至 158.12 亿元。其中,2016年公司收购 AMD 苏州、槟城股权并与 AMD 开展深度 合作,营收同比增长高达 97.75%。2020年起,公司收入始终保持较高水平增长,2021年实现全年实现合计 158.12 亿元,同比+46.84%;此外,2021 年公司实现归母净利润 9.57 亿元,同比+182.69%,延续了 2020 年的强劲增长态势。我们认为,公司营收及净利润业绩高增主要归因于:(1)终端应用多点开花,高性能计算、汽车电子、MCU 等市场均呈现向好态势;(2)与 AMD 建立紧密战略合作关系,充分发挥协同效应增强业绩确定性;(3)先进封装方面,公司大规模生产 Chiplet 产品,7nm 产品已大规模量产,进一步扩大利润空间。AMD 业绩高增&下半年 Zen4 推出,通富微电将核心受益。FY2020-2022H1,AMD 营业收入规模快速扩张,FY2021 达到 1046.71 亿元,同比+68.33%,且 2022H1 延续了高增态势,营业收入合计 833.28 亿元,超出2020年全年业绩。2022 年秋季,AMD 将发布基于 Zen4 架构的 Ryzen 7000 系列处理器,我们预计新产品的推出将进一步推动通富微电业绩放量。前瞻布局全产业链,一站式服务涵盖齐全封装类型。通富微电封装业务包含框架类封装(SOT,SOP,QFN,DFN,LQFP,TO,IPM 等)、基板类封装(WBBGA,WBLGA,FCBGA,FCCSP,FCLGA 等)、圆片类封装(Fan-in WLCSP,Fan-out WLCSP, Cu pillar bump, Solder bump, Gold bump 等)及 COG,COF 和 SIP 等,可广泛应用于消费,工业和汽车类产品,包括高性能计算、大数据存储、网络通讯、移动终端、车载电子、人工智能、物联网、工业智造等领域。在公司前瞻布局全产业链下,各领域业务进展顺利:(1)高性能计算方面,公司与 AMD 强强联合,目前已建成国内高端处理器产品最大量产封测基地;(2)存储器方面,公司与长江存储、长鑫存储结为战略合作伙伴,已大规模生产存储产品;(3)汽车电子、功率 IC 方面,公司布局多年,拥有丰富的客户资源和深厚的技术积累,具备强大的竞争优势;(4)MCU 方面,公司与海外及国内知名 MCU 芯片公司长期稳定合作,业务规模持续高速增长;(5)显示驱动芯片方面,公司率先布局,已导入国内外第一梯队客户,业务即将进入爆发期;(6)5G 方面,公司持续以“先进封装耕耘 SOC 大客户,提高周边配套芯片客户份额”为策略,相关业务将持续增长。积极开展 Chiplet、2.5D/3D 等顶尖封装技术布局,构筑差异化竞争优势。公司目前已建成国内顶级 2.5D/3D 封装平台(VISionS)及超大尺寸 FCBGA 研发平台,完成高层数再布线技术开发。针对 Chiplet,通富微电提供晶圆级及基板级封装两种解决方案,其中晶圆级 TSV 技术是 Chiplet 技术路径的一个重要部分。WLP 晶圆级封装大部分工艺是对晶圆进行整体封装,封装完成后再进行切割分片。晶圆级封装是通过芯片间共享基板的形式,将多个裸片封装在一起,主要用于高性能大芯片的封装,利用次微米级硅中介层以 TSV 技术将多个芯片整合于单一封装中,能够显著降低材料成本,利用无载片技术,在芯片到晶圆键合与缝隙填充之后,整个晶圆由于背侧硅穿孔露出而进行覆盖成型与翻转,并直接由环氧模型树脂维持。此外,通富微电积极布局其他封装技术研发项目,在高性能计算、5G 应用及汽车电子等领域持续深耕,将为未来发展注入新动能:——————————————————报告属于原作者,我们不做任何投资建议!如有侵权,请私信删除,谢谢!精选报告来自【远瞻智库官网】

我要回帖

更多关于 半导体封装企业 的文章