半导体封装测试企业排名公司用到的生产设备?


EEWorld
半导体行业技术高、进步快,一代产品需要一代工艺,而一代工艺需要一代设备。SEMI 预计 2020 年半导体设备市场将增长 20.7%,达到 719 亿美元,创历史新高。2017 年中国大陆市场需求规模约占全球的 15%左右, 2020 年预计占比将达到 20%,约 170 亿美元。全球半导体设备市场集中度高,主要有美日荷厂商垄断,国内自给率仅有 5%左右,国产替代空间巨大。
随着摩尔定律趋近极限,半导体行业技术进步放缓,国内厂商与全球龙头技术差距正在逐渐缩短,我们认为未来 3-5 年将是半导体设备国产替代黄金战略机遇期。
全球垄断,02 专项顶层设计求突破
半导体行业技术高、进步快,一代产品需要一代工艺,而一代工艺需要一代设备。半导体产业技术进步主要有两大方向:一是制程越小→晶体管越小→ 相同面积上的元件数越多→性能越高→产品越好;二是硅片直径越大→硅片面积越大→单个晶圆上芯片数量越多→效率越高→成本越低。
资料来源:《中国集成电路产业投融资研究》周子学
半导体工艺流程主要包括单晶硅片制造、IC 设计、IC 制造和 IC 封测。单晶硅片制造需要单晶炉等设备,IC 制造需要光刻机、刻蚀机、薄膜设备、扩散离子注入设备、湿法设备、过程检测等六大类设备。半导体设备中,晶圆代工厂设备采购额约占 80%,检测设备约占 8%,封装设备约占 7%,硅片厂设备等其他约占 5%。
半导体工艺流程
资料来源:清科研究中心
一般情况下,不同的晶圆尺寸和制程的 IC 制造产线所需的设备数量不同。以每 1 万片/月产能计算,12 寸产线所需的设备数量要比 8 寸产线多,12 寸先进制程产线所需的设备数量要比 12 寸成熟制程产线设备多。
半导体设备属于高端制造装备,其价值量较高。比如高端 EUV 光刻机单价甚至超过 1 亿美金。总体上看,IC 制造设备市场中刻蚀机、光刻机、薄膜设备的价值量占比较高。
半导体制造前道设备市场规模(百万美元)
资料来源:《台积电南京专项环评报告》,中芯国际
2020 全球预计超 700 亿美元,中国大陆占比超 20%
2020 年全球半导体设备市场规模预计超 700 亿美元。根据 2018 年 12 月 12 日 SEMI 在SEMICON Japan 2018 展览会上发布年终预测报告显示,2018 年新的半导体制造设备的全球销售额预计将增加 9.7%达到 621 亿美元,超过2017 年创下的566 亿美元的历史新高。预计2019 年设备市场将收缩4.0%,至 596 亿美元,但 2020 年将增长 20.7%,达到 719 亿美元,创历史新高。
2020 年中国大陆市场规模占比超 20%,约 170 亿美元。根据 SEMI 数据,2017 年中国大陆半导体设备销售额 82.3 亿美元,同比增长 27%,约占全球的 15%,预计 2020 年占比将超过 20%,约 170 亿美元。
全球半导体设备销售额及增速预测(2018.12)
资料来源:SEMI 2018.12
半导体设备市场集中度高,CR10 超 60%。全球半导体设备生产企业主要集中于欧美、日本、韩国和我国台湾地区等,以美国应用材料、荷兰阿斯麦、美国泛林集团、日本东京电子、美国科天等为代表的国际知名企业起步较早, 经过多年发展,凭借资金、技术、客户资源、品牌等方面的优势,占据了全球集成电路装备市场的主要份额。
2017 年半导体制造设备前 10 强
资料来源:Gartner
2017 年半导体设备竞争格局
资料来源:Gartner 2017
国产设备自给率低,技术加速追赶
国产设备自给率低,进口替代空间大。供给端看,根据中国电子专用设备工业协会对国内 42 家主要半导体设备制造商的统计,2017 年国产半导体设备销售额为 89 亿元,自给率约为 14.3%。中国电子专用设备工业协会统计的数据包括 LED、显示、光伏等设备,我们认为实际上国内集成电路 IC 设备国内市场自给率仅有 5%左右,在全球市场仅占 1-2%。
专项顶层设计,技术加速追赶。2002 年之前,我国集成电路设备基本全进口,中国只有 3 家集成电路设备厂商,由北方微电子、北京中科信和上海微电子分别承接国家“863”计划中的刻蚀机、离子注入机和光刻机项目。2006 年,《国家中长期科学和技术发展规划纲要(2006-2020 年)》设立国家科技重大专项——极大规模集成电路制造装备及成套工艺科技项目(简称 02 专项)研发国产化设备,并于 2008 年开始实施。2008 年之前我国 12英寸国产设备为空白,只有 2 种 8 英寸设备。
国家支持集成电路产业发展的部分重点政策
资料来源:赛迪智库在 02 专项的统筹
规划下,国内半导体厂商分工合作研发不同设备,涵盖了主要设备种类。目前已有 20 种芯片制造关键装备、17 种先进封装设备,通过大生产线验证进入海内外销售。
02 专项支持下国产设备厂商产品布局
资料来源:《中国集成电路产业发展蓝皮书》中国电子信息产业发展研究院
国内 IC 制造设备工艺覆盖率仍比较低,国产厂商技术加速追赶。国产全部IC 设备在逻辑 IC 产线上 65/55nm 工艺覆盖率才 31%,40nm 工艺覆盖率仅17%,28nm 工艺覆盖率仅 16%;在存储芯片产线上的工艺覆盖率大概约为15-25%。
随着摩尔定律放缓,国产厂商技术加速追赶。以北方华创刻蚀机为例,2007 年研发出 8 寸 100nm 设备,比国际大厂晚 8 年;2011 年研发出 12 寸 65nm 设备,比国际大厂晚 6 年;2013 年研发出 12 寸 28nm 设备,比国际大厂晚 3~4 年;2016 年研发 12 寸 14nm 设备,比国际大厂晚 2~3 年。
北方华创刻蚀机研发进展
资料来源:北方华创官网
硅片是半导体、光伏电池生产的主要原材料,90%以上的集成电路都是制作在高纯、优质的硅片上的。1、半导体硅片的制造难度大于光伏硅片。半导体硅片纯度要求达到 99.99999999999%,即 11 个 9 以上,而普通太阳能硅片制造难度大,设备种类多级多晶硅材料纯度通常在5-8 个 9 左右。2、硅片直径越大制造难度越大。硅片制备工艺流程包括:单晶生长→截断→外径滚磨(定位槽或参考面处理)→切片→倒角→表面磨削→(刻蚀)→边缘抛光→双面抛光→单面抛光→最终清洗→(外延/退火)→包装等。
硅片制造流程
资料来源:《半导体制造技术》韩郑生
硅片直径的增大可降低单个芯片的制造成本,目前 300mm 硅片已成为业内主流,2017 年全球 12 寸出货面积约占硅片总体的 66.1%。
现在:政策需求双轮驱动,大硅片国产化指日可待。根据 IC Insights 2017数据,2017 年全球硅片需求 1160 万片(等效 8 寸),国内需求 110 万片。预计 2020 年国内对 12 寸大硅片需求从 42 万片增加到 105 万片;2020 年对 8 寸硅片需求从 70 万片增加到 96.5 万片。受政策鼓励与市场需求的双重驱动,多家企业正在中国积极布局半导体大硅片项目。国内规划中的 12 寸大硅片合计:145 万片,覆盖国内需求。国内规划中的 8 寸大硅片合计:168 万片,总投资规模超过 500 亿元,覆盖国内需求。
过去:受市场需求不足的影响,产业化推进较为缓慢。我国的硅片制备设备经过了 30 多年的发展,已可提供直径 200mm 以下的硅片制备设备,但受市场需求量较少和国外二手设备的冲击,国产设备发展的门类并不齐全。在300mm 硅片制备设备的发展上,国内研发了单晶炉、多线切割机等几种关键设备,也通过了 300mm 硅片生产试验线的验证。但与国外设备相比,受市场需求不足的影响,产业化推进较为缓慢,同时也影响了设备技术的进步。
硅片设备产业化推进加快,国产厂商迎来发展良机。单晶炉方面,晶盛机电承担的 02 专项“300mm 硅单晶直拉生长设备的开发”、“8 英寸区熔硅单晶炉国产设备研制”两大项目均已通过专家组验收,8 寸直拉单晶炉和区熔单晶炉均已实现产业化,客户包括有研半导体、环欧半导体、金瑞泓等;12 寸直拉单晶炉产业化推进中,未来有望为国内大硅片项目供货。南京晶能 12 寸直拉单晶炉已进入新昇半导体大硅片产线。
光刻机发展历史
在集成电路制造工艺中,光刻是决定集成电路集成度的核心工序,该工序的 作用是将电路图形信息从掩模版上保真传输、转印到半导体材料衬底上。光 刻工艺的基本原理是,利用涂敷在衬底表面的光刻胶的光化学反应作用,记 录掩模版上的电路图形,从而实现将集成电路图形从设计转印到衬底的目的。
光刻工艺的基本原理
资料来源:SMEE
光刻机分为无掩模光刻机和有掩模光刻机两大类。
无掩模光刻机又称直写光刻机,按照所采用的辐射源的不同可分为电子束直写光刻机、离子束直写光刻机、激光直写光刻机,分别用于不同的特定应用领域。例如,电子束直写光刻机主要用于高分辨率掩模版、集成电路原型验证芯片的制造,以及特种器件的小批量制造;激光直写光刻机主要用于特定的小批量芯片的制造。
光刻机分类
资料来源:SMEE
有掩模光刻机又分为接触/接近式光刻机和投影式光刻机。接触式光刻出现于20 世纪 60 年代,是小规模集成电路(SSI)时代的主要光刻手段,主要用于生产制程在 5μm 以上的集成电路。接近式光刻机于 20 世纪 70 年代在小规模集成电路与中规模集成电路(MSI)时代早期被广泛应用,主要用于生产制程在 3μm 以上的集成电路。目前接触接近式光刻机的国外生产商主要有德国的苏斯公司、奥地利 EVG 公司,国内生产商主要有中电科 45 所、中科院光电技术研究所等。
接触/接近式光刻机和投影式光刻机
资料来源:SMEE
投影光刻机自 20 世纪 70 年代中后期开始替代接触接近式光刻机,是先进集成电路大批量制造中的唯一光刻形式。早期的投影光刻机的掩模版与衬底 图形尺寸比例为 1:1,通过扫描方式完成整个衬底的曝光过程。
随着集成电路特征尺寸的不断缩小和衬底尺寸的增大,缩小倍率的步进重复光刻机问世, 替代了图形比例为 1:1 的扫描光刻方式。当集成电路图形特征尺寸小于0.25μm 时,由于集成电路集成度的进一步提高,芯片面积更大,要求一次曝光的面积增大,促使更为先进的步进扫描光刻机问世。
通过配置不同的曝 光光源,步进扫描技术可支撑不同的工艺技术节点,从 KrF248mm 、ArF193mm、ArF193mm 浸没式,直至 EUV 光刻。在 0.18μm 工艺节点后, 高端光刻机厂商基本采用步进扫描技术,并一直沿用至今。
投影光刻机的基本分辨率R=K1*λ/NA,其中 K1 为工艺因子,根据衍射成像原理,其理论极限值是 0.25;NA 为光刻机成像物镜的数值孔径;λ 为所使用的光源的波长。提高投影光刻机分辨率的理论和工程途径是增大数值孔径NA,缩减波长 λ,减小 K1。
为了提高光刻分辨率,在采用准分子光源后进一步缩短曝光波长,引入波长10~14mm 的极紫外光 EUV 作为曝光光源。EUV 光刻机研发难度及费用极大,英特尔、三星和台积电都曾对光刻机龙头 ASML 投资,以支持 EUV 光刻设备研发,并希望取得EUV 设备的优先权。ASML 从事 EUV 光刻机的研制已是第 12 个年头了,甚于“十年磨一剑”。2017 年,姗姗来迟的 EUV 光刻机终于进入了量产阶段。
ASML 光刻机发展历程
资料来源:ASML
光刻机竞争格局
步进扫描投影光刻机的主要生产厂商包括ASML(荷兰)、尼康(日本)、佳能(日本)和SMEE (中国)。ASML 于 2001 年推出了 TWINSCAN 系列步进扫描光刻机,采用双工件台系统架构,可以有效提高设备产出率,已成为应用最为广泛的高端光刻机。ASML 在光刻机领域一骑绝尘,一家独占全球 70%以上的市场份额。国内厂商上海微电子 (SMEE)研制的 90nm 高端步进扫描投影光刻机已完成整机集成测试,并在客户生产线上进行了工艺试验。
步进式扫描光刻机主要厂商机型信息
资料来源:SMEE
晶圆制造设备——刻蚀机
刻蚀原理及分类
刻蚀是使用化学或者物理方法有选择地从硅片表面去除不需要材料的过程。通常的晶圆加工流程中,刻蚀工艺位于光刻工艺之后,有图形的光刻胶层在刻蚀中不会受到腐蚀源的显著侵蚀,从而完成图形转移的工艺步骤。
刻蚀原理示意图
资料来源:《半导体制造技术》MichaelQuirk
刻蚀分为湿法刻蚀和干法刻蚀两种。早期普遍采用的是湿法刻蚀,但由于其在线宽控制及刻蚀方向性等多方面的局限,3μm 之后的工艺大多采用干法刻蚀,湿法刻蚀仅用于某些特殊材料层的去除和残留物的清洗。
干法刻蚀也称等离子刻蚀。干法刻蚀是指使用气态的化学刻蚀剂(Etchant) 与圆片上的材料发生反应,以刻蚀掉需去除的部分材料并形成可挥发性的反应生成物,然后将其抽离反应腔的过程。刻蚀剂通常直接或间接地产生于刻蚀气体的等离子体,所以干法刻蚀也称等离子体刻蚀。
等离子体刻蚀机可以根据等离子体产生和控制技术的不同而大致分为两大类,即电容耦合等离子体(capacitively coupled plasma,CCP)刻蚀机和电感耦合等离子体(Inductively coupled plasma,ICP)刻蚀机。在集成电路生产线上,等离子体刻蚀设备通常按照被刻蚀材料的种类分为硅刻蚀设备、金属刻蚀设备和电介质刻蚀设备三大类。
CCP 刻蚀机主要用于电介质材料的刻蚀工艺,如逻辑芯片工艺前段的栅侧墙和硬掩模刻蚀,中段的接触孔刻蚀,后段的镶嵌式和铝垫刻蚀等,以及在 3D 闪存芯片工艺(以氮化硅/氧化硅结构为例)中的深槽、深孔和连线接触孔的刻蚀等。
ICP 刻蚀机主要用于硅刻蚀和金属刻蚀,包括对硅浅沟槽(STI)、锗(Ge)、多晶硅栅结构、金属栅结构、应变硅(Strained-Si)、金属导线、金属焊垫(Pad)、镶嵌式刻蚀金属硬掩模和多重成像(Multiple Patteming)技术中的多道工序的刻蚀等。另外,随着三维集成电路(3D IC)、CMOS 图像传感器(CIS)和微机电系统(MEMS)的兴起,以及硅通孔(TSV)、大尺寸斜孔槽和不同形貌的深硅刻蚀应用的快速增加,多个厂商推出了专为这些应用而开发的刻蚀设备。
随着工艺要求的专门化、精细化,刻蚀设备的多样化,以及新型材料的应用, 上述分类方法已变得越来越模糊。除了集成电路制造领域,等离子体刻蚀还被广泛用于 LED、MEMS 及光通信等领域。
刻蚀机行业发展趋势及竞争格局
随着芯片集成度的不断提高,生产工艺越来越复杂,刻蚀在整个生产流程中的比重也呈上升趋势。因此,刻蚀机支出在生产线设备总支出中的比重也在增加。而刻蚀机按刻蚀材料细分后的增长速度,则根据工艺技术的发展阶段不同呈现此消彼长的状况。例如,当 0.13μm 工艺的铜互连技术出现时,金属刻蚀设备的占比大幅下降,而介质刻蚀设备的占比大幅上升;30nm 之后的工艺中出现的多重图像技术及越来越多的软刻蚀应用,则使得硅刻蚀设备的占比快速增加。
国际巨头泛林集团、东京电子、应用材料均实现了硅刻蚀、介质刻蚀、金属刻蚀的全覆盖,占据了全球干法刻蚀机市场的 80%以上份额。国内厂商中微半导体在介质刻蚀领域较强,其产品已在包括台积电、海力士、中芯国际等。
芯片生产商的 20 多条生产线上实现了量产;5nm 等离子体蚀刻机已成功通过台积电验证,将用于全球首条 5nm 工艺生产线;同时已切入 TSV 硅通孔刻蚀和金属硬掩膜刻蚀领域。北方华创在硅刻蚀和金属刻蚀领域较强,其55/65nm 硅刻蚀机已成为中芯国际Baseline 机台,28nm 硅刻蚀机进入产业化阶段,14nm 硅刻蚀机正在产线验证中,金属硬掩膜刻蚀机攻破 28-14nm 制程。
晶圆制造设备——薄膜生长设备
采用物理或化学方法是物质(原材料)附着于衬底材料表面的过程即为薄膜生长。薄膜生长广泛用于集成电路、先进封装、发光二极管、MEMS、功率器件、平板显示等领域。
薄膜生长工艺类型
资料来源:北方华创《集成电路专用设备-薄膜设备》
根据工作原理的不同,集成电路薄膜沉积可分为物理气相沉积(PVD)、化学气相沉积(CVD)和外延三大类。
PVD 是指利用热蒸发或受到粒子轰击时物质表面原子的溅射等物理过程,实现物质原子从源物质到衬底材料表面的物质转移,从而在衬底表面沉积形成薄膜的技术。PVD 可以分为真空蒸镀和溅射两种类型。目前蒸镀主要应用于LED 电极制造,而主流 IC 制造领域已经不再采用此类设备进行薄膜制备。溅射 PVD 广泛应用于集成电路后道互连工艺中金属薄膜制备。
磁控直流DCPVD 可用于平面薄膜制备,如铝互连和 28nm 氮化钛硬掩膜,但在铜互连中应用减少。离子化 PVD 是磁控 DCPVD 中的一种新技术,可用于铝互连的隔离层、钨栓塞的粘附层,以及铜互连中的隔离层和籽晶层。同时,离子化PVD 和金属CVD 腔室可以结合在一个系统中,比如钨栓塞的粘附层(钛离子PVD)和隔离层(氮化钛 CVD)。
薄膜生长设备
资料来源:北方华创 《集成电路专用设备-薄膜设备》
CVD 是通过混合化学气体并发生化学反应,从而在衬底表面沉积薄膜的一种工艺,用于沉积的材料包括金属材料(W, TIN, Co)、介电材料(Si02、Si, N4、掺磷二氧化硅、掺硼磷二氧化硅)和半导体材料(多晶硅、无晶硅)等。
在微米技术代,化学气相沉积均采取多片式的常压化学气相沉积设备(APCVD),其结构比较简单,圆片的传输和工艺是连续的。
1、在亚微米技术代,低压化学气相沉积设备(LPCVD)成为主流设备, 其工作压力大大降低,从而改善了沉积薄膜的均匀性和沟槽覆盖填充能力。
2、从 90nm 技术代开始,等离子体增强化学气相沉积设备(PECVD) 扮演了重要的角色。由于等离子体的作用,化学反应温度明显降低, 薄膜纯度得到提高,薄膜密度得以加强。
3、从 180nm 技术代开始,Cu 取代 Al 作为金属互连材料。金属栓塞工艺模块中的 TIN 阻挡层和W 栓塞均是采用金属化学气相沉积(金属 Metal-CVD)完成的。
4、从 45nm 技术代开始,为了减小器件的漏电流,新的高介电材料(High k)材料及金属栅(Metal Gate)工艺被应用到集成电路工艺中, 由于膜层非常薄,通常在数纳米量级内,所以不得不引入原子层沉积(ALD)的工艺设备,以满足对薄膜沉积的控制和薄膜均匀性的需求。
5、MOCVD 主要用于制备半导体光电子、微电子器件领域的各种砷化镓、氮化镓等三五族化合物,在 LED、激光器、高频电子器件和太阳能电池等领域具有规模化生产的能力。
外延是一种在晶片等单晶衬底上按照衬底晶向生长单晶薄膜的工艺过程。根据外延生长材料的不同,外延可以分为同质外延和异质外延。同质外延是指生长的外延层和衬底是同一种材料,异质外延是指外延生长的薄膜材料与衬底材料不同,如 SPS 技术(在蓝宝石或尖晶石上生长硅)。根据外延技术可分为分子束外延、气相外延、液相外延等。MOCVD 也可作为气相外延的一种。
PVD 领域,AMAT 一家独大,约占全球市场份额的 80%以上;CVD 领域, AMAT、LAM、TEL 三家约占全球市场份额的 70%以上。国内设备厂商中北方华创薄膜设备产品种类最多,目前其 28nm 硬掩膜 PVD 已实现销售,铜互连PVD、14nm 硬掩膜PVD、Al PVD、LPCVD、ALD 设备已进入产线验证。中微半导体的 MOCVD 在国内已实现国产替代。沈阳拓荆的 65nm PECVD 已实现销售。
晶圆制造设备——扩散及离子注入设备
在集成电路制造过程中,掺杂主要有扩散和离子注入两种工艺,扩散属于高温工艺,而离子注入工艺属于低温工艺。
扩散工艺是向硅材料中引人杂质的一种传统方法,控制圆片衬底中主要载流子的类型、浓度和分布区域,进而控制衬底的导电性和导电类型。扩散工艺设备简单,扩散速率快,掺杂浓度高,但扩散温度高,扩散浓度分布控制困难,难以实现选择性扩散。
离子注入工艺是指使具有一定能量的带电粒子(离子)高速轰击硅衬底并将其注入硅衬底的过程。离子注入能够在较低的温度下,可选择的杂质种类多, 掺杂剂量控制准确,可以向浅表层引人杂质,但设备昂贵,大剂量掺杂耗时较长,存在隧道效应和注人损伤。
资料来源:光大证券研究所整理
扩散炉分类及竞争格局
扩散炉广泛用于分立器件、电力电子、光电器件和光导纤维等行业的扩散、氧化、退火、合金等工艺中,因此按照功能不同,有时也称扩散炉为退火炉、氧化炉。扩散炉主要分为卧式扩散炉和立式扩散炉。
卧室扩散炉(一般用于 8 英寸以下)
资料来源:北方华创《集成电路专用设备-扩散炉设备》
卧式扩散炉是一种在圆片直径小于 200mm 的集成电路扩散工艺中大量使用的热处理设备,其特点是加热炉体、反应管及承载圆片的石英舟(Quartz Boat) 均呈水平放置,因而具有片间均匀性好的工艺特点。
立式扩散炉(一般用于 8 和 12 英寸)
资料来源:北方华创《集成电路专用设备-扩散炉设备》
立式扩散炉泛指应用于直径为 200mm 和 300mm 圆片的集成电路工艺中的- 种批量式热处理设备,俗称立式炉。立式扩散炉的结构特点是,加热炉体、反应管及承载圆片的石英舟均垂直放置(圆片呈水平放置状态),具有片内均匀性好、自动化程度高、系统性能稳定的特点,符合SEMI 标准要求,可以满足大规模集成电路生产线的需求。立式扩散炉是半导体集成电路生产线的重要设备之一,也常应用于电力电子器件(如 ICBT)等领域的相关工艺。立式扩散适用的工艺包括干氧氧化、氢氧合成氧化、DCE (二氯乙烯)氧化、氨氧化硅氧化等氧化工艺,以及二氧化硅、多晶硅(Poly-si)、氮化硅(SiN)、原子层沉积(ALD)等薄膜生长工艺,也常用于高温退火、铜退火(Cu Anneal) 及合金(Alloy)等工艺。
扩散设备方面,卧室扩散炉较为简单,国内基本能实现自给自足,设备厂商主要有北方华创、中电科第 48 所等。立式扩散/氧化炉设备门槛较高,全球主要厂商有东京电子(TEL)、日立国际(HKE)等,单台平均售价约为 80 万美元,国内仍主要依赖进口,只有北方华创公司能够小批量提供 300mm 立式炉产品。
传统的退火炉使用类似卧式扩散炉的炉管系统,一般用于直径小于 200mm 的晶圆制造。而 200mm 或者 300mm 的大尺寸晶圆一般采用立式炉及单片快速热处理(RTP)设备。相对于炉管加热退火,RTP 具有热预算少,掺杂区域中杂质运动范围小,沾污小和加工时间短等优点。RTP 设备门槛高,主要由应用材料公司、Axcelis Technology、Mattson Technology 和 ASM 等 4 家公司垄断,约占全球 90%的市场份额。
离子注入机是集成电路装备中较为复杂的设备之,根据注入离子的能量和剂量的不同,离子注入机大体分为低能大束流离子注入机、中束流离子注入机和高能离子注入机 3 种类型。其中,低能大束流离子注入机是目前占有率最高的注入机,适用于大剂量及浅结注入,如源漏极扩展区注入、源漏极注入、栅极掺杂以及预非晶化注入等多种工艺。中束流离子注入机可应用于半导体制造中的沟道、阱和源漏极等多种工艺。高能离子注入机在逻辑、存储、成像器件、功率器件等领域应用广泛。
离子注入设备厂商主要有美国的 AMAT、Axcelis 等。国内生产线上使用的离子注入机多数依赖进口,国内北京中科信、中电科 48 所、上海凯世通等也能提供少量产品。其中,中科信公司已具备不同种类(低能大束流、中束流和高能)离子注入机上线机型的量产能力。
晶圆制造设备——湿法设备
湿法工艺是指在集成电路制造过程中需要使用化学药液的工艺,主要有湿法清洗、化学机械抛光和电镀三大类。
湿法清洗是指针对不同的工艺需求,采用特定的化学药液和去离子水,对圆片表面进行无损伤清洗,以去除集成电路制造过程中的颗粒、自然氧化层、有机物、金属污染、牺牲层、抛光残留物等物质。
清洗机主要分为槽式清洗机和单圆片清洗机。槽式清洗技术是由美国无线电公司(RCA)于 1970 年提出的,它是通过多个化学槽体、去离子水槽体和干燥槽体的配合使用,完成圆片清洗工艺。
随着 28nm 及更先进工艺的湿法清洗对圆片表面小颗粒的数量及刻蚀均匀性的要求越来越高,同时必须达到图形无损干燥。而槽式圆片清洗机的槽体内部化学药液的差异性、干燥方式,以及与圆片接触点过多,导致无法满足这些工艺需求,现已逐渐被单圆片清洗机取代,目前槽式圆片清洗机在整个清洗流程中约占 20%的步骤。
槽式圆片清洗机主要厂商有日本的迪恩士(SCREEN)、东京电子(Tokyo Electron)和 JET,三家约占全球 75%以上的市场份额。韩国的 SEMES 和KCTECH 主要供给韩国市场。
单圆片清洗设机主要厂商有日本的迪恩士、东京电子和美国泛林集团提供, 三家约占全球 70%以上的市场份额。在国内的单圆片湿法设备厂商中,盛美半导体独家开发的空间交变相位移(SAPS)兆声波清洗设备和时序气穴振荡控制(TEBO)兆声波清洗设备已经成功进入韩国及中国的集成电路生产线并 用于大规模生产。北方华创的清洗机也成功进入中芯国际生产线。
(SAPS)兆声波清洗设备技术原理
资料来源:盛美半导体《集成电路专用设备-湿法设备》
化学机械抛光(CMP)是指圆片表面材料与研磨液发生化学反应时,在研磨头下压力的作用下进行抛光,使圆片表面平坦化的过程。
圆片表面材料包括多晶硅、二氧化硅、金属钨、金属铜等,与之相对应的是不同种类的研磨液。
化学机械抛光能够将整个圆片高低起伏的表面研磨成一致的厚度,是一种圆片全局性的平坦化工艺。
CMP 工艺在芯片制造中的应用包括浅沟槽隔离平坦化(STI CMP)、多晶硅平坦化(Poly CMP)、层间介质平坦化(ILD CMP)、金属间介质平坦化(IMDCMP)、铜互连平坦化(Cu CMP)。
CMP 设备主要分为两部分,即抛光部分和清洗部分。抛光部分由 4 部分组成,即 3 个抛光转盘和一个圆片装卸载模块。清洗部分负责圆片的清洗和甩干,实现圆片的“干进干出”。
化学机械抛光(CMP)原理
资料来源:盛美半导体《集成电路专用设备-湿法设备》
化学机械抛光(CMP)配套设备
资料来源:盛美半导体《集成电路专用设备-湿法设备》
CMP 设备主要生产商有美国 AMAT 和日本 Ebara,其中 AMAT 约占 CMP 设备市场 60%的份额,Ebara 约占 20%的份额。国内 CMP 设备的主要研发单位有天津华海清科和中电科 45 所,其中华海清科的抛光机已在中芯国际生产线上试用。
电镀是指在集成电路制造过程中,用于加工芯片之间互连金属线所采用的电化学金属沉积。随着集成电路制造工艺的不断发展,目前电镀已经不限于铜线的沉积,还涉及锡、锡银合金、镍等金属的沉+积,但金属铜的沉积仍是其中最主要的部分。
电镀原理
资料来源:盛美半导体《集成电路专用设备-湿法设备》
电镀设备主要的生产商包括 Lam Research、AMAT 以及 TEL。其中,Lam Research 在前道的镶嵌式技术电镀铜设备中占据 90%以上的市场份额,日本的东京电子在先进封装领域约占据 50%市场。盛美半导体设备已经掌握了电镀机的核心专利技术,包括多圆环阳极技术和兆声波辅助电镀技术等, 自主开发了Utra ECP 系列电镀机。
晶圆制造设备——工艺检测设备
工艺检测设备是应用于工艺过程中的测量类设备和缺陷(含颗粒)检查类设备的统称。集成电路芯片制造工艺流程中在线使用的工艺检测设备种类繁多, 应用于前段芯片制造工艺的主要检测设备分为:圆片表面的颗粒和残留异物检查;薄膜材料的厚度和物理常数的测量;圆片在制造过程中关键尺寸(CD) 和形貌结构的参数测量;套刻对准的偏差测量。
随着芯片结构的不断细微化和工艺的不断复杂化,工艺检测设备在先进的前段生产线中起着越来越重要的作用。目前工艺检测设备投资占整个前端工艺设备总投资的 10%~15%。
工艺检测设备的供应商主要有科磊半导体、应用材料、日立高新等,国内厂商主要有上海睿励科学仪器和深圳中科飞测科技。
封装测试设备
根据 SEMI 数据,2017 年全球封装测试设备市场高速增长 27.89%,销售额达到 83.1 亿美元。2017 年中国大陆半导体封装测试设备与封装模具市场增长了18.6%,达到 206.1 亿元,约为 30.53 亿美元(按统计局 2017 年度平均汇率计笲:1 美元=6.75 元),其中封装设备市场 14 亿美元,测试设备与封装模具市场为 16.53 亿美元。2017 年国内半导体设备市场规模为 82.3 亿美元,封装测试设备占比超过 1/3,达到 37.1%。
全球半导体封测设备市场规模及增速
资料来源:SEMI
国内半导体封测设备市场规模及增速
资料来源:SEMI
封装设备
封装和组装可分为四级,即芯片级封装(0 级封装)、元器件级封装(1 级封装)、板卡级组装(2 级封装)和整机组装(3 级封装)。在 0 级封装阶段,为了实现圆片的测试、减薄、划切工艺,与之对应的主要封装设备有圆片探针台、圆片减薄机、砂轮和激光切割机等。在 1 级封装阶段,为了实现芯片的互连与封装工艺,与之对应的主要封装设备有黏片机、引线键合机、芯片倒装机、塑封机、切筋成型机、引线电镀机和激光打标机等。在此阶段,为了实现圆片级芯片尺寸封装(WLCSP)工艺,相应的主要封装设备还有植球机、圆片凸点制造设备、圆片级封装的金属沉积设备及光刻设备等。在 2 级封装阶段,为了实现 PCB 组装工艺,与之对应的主要封装设备有焊膏涂覆设备、丝网印刷机、点胶机、贴片机、回流炉、波峰焊机、清洗机自动光学检测设备等。
集成电路所有的关键参数,所以花费的时间较长,但对于保证产品质量却能起到关键作用。为加快集中检测电学参数的速度,降低集成电路的测试成本, 半导体产业界开发了相关的自动测试设备(ATE)。利用计算机控制, ATE 能够完成对集成电路的自动测试。
ATE 价格昂贵,对测试环境要求苛刻,所以要求有高标准的测试场地,同时还要保证多台 ATE 并行运行,以保证测试的速度和效率。对于每种集成电路都要开发专门的ATE 测试程序,以保证测试自动进行。
近年来,测试设备商经过不断整合,形成了以日本爱德万测试(ADVANTEST) 和美国泰瑞达(TERADYNE)两大公司,其产品约占全球半导体企业测试设备市场份额的 80%以上。国内测试设备厂商有长川科技、华峰测控、广立微等。
通过上文对全球设备龙头的梳理,我们发现:每大类设备市场中,最终都形成了寡头竞争的格局,前三名厂商占据了绝大部分的市场份额,呈现强者恒强大者恒大的特点。
来源:光大证券。如涉及版权,请联系删除。返回搜狐,查看更多
责任编辑:
半导体整个产业链,宏观看主要三类,设计、代工制造/芯片制造和封装测试。本篇只写芯片制造工艺和设备,后续会更新芯片制造材料,设计和封测等。由于是相关题材第一篇,所以会先全面介绍下,再聚焦到代工制造。半导体、芯片和集成电路一篇牛逼的科普文正式进入之前,建议看下面这篇科普,有点牛逼,不夸张地说,看完了可能就不想看我写的了,哈哈!不信的话先来个gif感受一下!正向偏置反向偏置如果较真,这三个名词差别还挺大。如果是半导体行业内的人,再加上叙事语境,半导体一般指的是“半导体行业”,或者“芯片”,芯片就是指甲盖大小的那个物体,集成电路外观就是PCB板子的样子。不过,下面还是给出专业解释吧。半导体除了指半导体行业,还有半导体材料的意思。半导体( semiconductor),指常温下导电性能介于导体(conductor)与绝缘体(insulator)之间的材料。如二极管就是采用半导体制作的器件。半导体是指一种导电性可受控制,范围可从绝缘体至导体之间的材料。无论从科技或是经济发展的角度来看,半导体的重要性都是非常巨大的。今日大部分的电子产品,如计算机、移动电话或是数字录音机当中的核心单元都和半导体有着极为密切的关连。常见的半导体材料有硅、锗、砷化镓等,而硅更是各种半导体材料中,在商业应用上最具有影响力的一种。物质存在的形式多种多样,固体、液体、气体、等离子体等。我们通常把导电性差的材料,如煤、人工晶体、琥珀、陶瓷等称为绝缘体。而把导电性比较好的金属如金、银、铜、铁、锡、铝等称为导体。可以简单的把介于导体和绝缘体之间的材料称为半导体。芯片芯片,又称微电路(microcircuit)、微芯片(microchip)、集成电路(integrated circuit, IC),是指内含集成电路的硅片,体积很小,常常是计算机或其他电子设备的一部分。芯片(chip)就是半导体元件产品的统称,是集成电路(IC, integrated circuit)的载体,由晶圆分割而成。硅片是一块很小的硅,内含集成电路,它是计算机或者其他电子设备的一部分。集成电路集成电路(integrated circuit)是一种微型电子器件或部件。采用一定的工艺,把一个电路中所需的晶体管、电阻、电容和电感等元件及布线互连一起,制作在一小块或几小块半导体晶片或介质基片上,然后封装在一个管壳内,成为具有所需电路功能的微型结构;其中所有元件在结构上已组成一个整体,使电子元件向着微小型化、低功耗、智能化和高可靠性方面迈进了一大步。它在电路中用字母“IC”表示。集成电路发明者为杰克·基尔比(基于锗(Ge)的集成电路)和罗伯特·诺伊思(基于硅(Si)的集成电路)。当今半导体工业大多数应用的是基于硅的集成电路。集成电路是20世纪50年代后期一60年代发展起来的一种新型半导体器件。它是经过氧化、光刻、扩散、外延、蒸铝等半导体制造工艺,把构成具有一定功能的电路所需的半导体、电阻、电容等元件及它们之间的连接导线全部集成在一小块硅片上,然后焊接封装在一个管壳内的电子器件。其封装外壳有圆壳式、扁平式或双列直插式等多种形式。集成电路技术包括芯片制造技术与设计技术,主要体现在加工设备,加工工艺,封装测试,批量生产及设计创新的能力上。半导体分类第一代半导体材料主要是指硅(Si)、锗元素(Ge)半导体材料。第二代半导体材料主要是指化合物半导体材料,如砷化镓(GaAs)、锑化铟(InSb)第三代半导体材料主要以碳化硅(SiC)、氮化镓(GaN)、氧化锌(ZnO)为代表的宽禁带半导体材料。在应用方面,根据第三代半导体的发展情况,其主要应用为半导体照明、电力电子器件、激光器和探测器、芯片是如何制造出来的从沙子到芯片,3大过程,硅片/晶圆制造、芯片制造和封装测试。硅片/晶圆制造产品当然是硅片,样子像是一个光秃秃的光盘,芯片制造产品是一个个指甲盖大小的小方块,不是真正的芯片,封装就是把芯片集成的IC上面(跟一堆电容电阻集成在一起),才是真正的芯片。芯片制造流程很长,加上过程中各种测试TTV、WARP和Thickness,几百个工序是有的。下面这个图给出主工艺流程,也可以很清楚表达出芯片的制造过程。 举个例子,某厂从晶棒到硅片包装(硅片制造),主工序25个。 还是上几个视频吧,各有各的不同,各位兄弟关照几身取精华吧!你看完可能会懵,芯片制造到底几步啊,哈哈哈哈哈哈哈哈哈哈!先笑为敬!how-chips-are-manufacturedhttps://www.zhihu.com/video/1640123552077795328 最后再来一篇,这个也不错,他说是“八个步骤,数百个工艺”,妙啊!行业全景梳理这篇文章只写其中一个环节,半导体代工制造工艺流程和主要设备。芯片制造从上游往下游依次看过去,半导体产业链可以分为轻资产IC设计(也称为fabless厂)、重资产芯片制造、封装测试。全链条市场玩家三大四小代工制造/芯片制造也称半导体前道工序,制造工艺分为“三大”+“四小”工艺,三大是光刻、刻蚀、沉积,四小是离子注入、清洗、氧化、检测。晶圆制造设备是半导体设备行业需求最大的领域,光刻、刻蚀和沉积设备为主要组成部分。根据SEMI数据来看,目前半导体设备主要为晶圆制造设备,市场占有率超过 85%。其中,刻蚀机、薄膜沉积、光刻机设备为半导体设备的核心设备,这三类半导体设备的市占率分别为22%、22%和20%。前道制造设备投资占比-2021光刻机光刻机,荷兰阿斯麦公司(ASML)横扫天下!不好意思,产量还不高,你们慢慢等着吧!无论是台积电、三星,还是英特尔,谁先买到阿斯麦的光刻机,谁就能率先具备7nm工艺。没办法,就是这么强大!日本的尼康和佳能也做光刻机,但技术远不如阿斯麦,这几年被阿斯麦打得找不到北,只能在低端市场抢份额。阿斯麦是唯一的高端光刻机生产商,每台售价至少1亿美金,2017年只生产了12台,2018年预计能产24台,这些都已经被台积电三星英特尔抢完了,2019年预测有40台,其中一台是给咱们的中芯国际。既然这么重要,咱不能多出点钱吗?第一:英特尔有阿斯麦15%的股份,台积电有5%,三星有3%,有些时候吧,钱不是万能的。第二,美帝整了个《瓦森纳协定》,敏感技术不能卖,中国、朝鲜、伊朗、利比亚均是被限制国家。有意思的是,2009年上海微电子的90纳米光刻机研制成功(核心部件进口),2010年美帝允许90nm以上设备销售给中国,后来中国开始攻关65nm光刻机,2015年美帝允许65nm以上设备销售给中国,再后来美帝开始管不住小弟了,中芯国际才有机会去捡漏一台高端机。刻蚀机重要性仅次于光刻机的刻蚀机,中国的状况要好很多,16nm刻蚀机已经量产运行,7-10nm刻蚀机也在路上了,所以美帝很贴心的解除了对中国刻蚀机的封锁。 在晶圆上完成电路图的光刻后,就要用刻蚀工艺来去除任何多余的氧化膜且只留下半导体电路图。要做到这一点需要利用液体、气体或等离子体来去除选定的多余部分。刻蚀的方法主要分为两种,取决于所使用的物质:使用特定的化学溶液进行化学反应来去除氧化膜的湿法刻蚀,以及使用气体或等离子体的干法刻蚀。使用化学溶液去除氧化膜的湿法刻蚀具有成本低、刻蚀速度快和生产率高的优势。然而,湿法刻蚀具有各向同性的特点,即其速度在任何方向上都是相同的。这会导致掩膜(或敏感膜)与刻蚀后的氧化膜不能完全对齐,因此很难处理非常精细的电路图。干法刻蚀可分为三种不同类型。第一种为化学刻蚀,其使用的是刻蚀气体(主要是氟化氢)。和湿法刻蚀一样,这种方法也是各向同性的,这意味着它也不适合用于精细的刻蚀。第二种方法是物理溅射,即用等离子体中的离子来撞击并去除多余的氧化层。作为一种各向异性的刻蚀方法,溅射刻蚀在水平和垂直方向的刻蚀速度是不同的,因此它的精细度也要超过化学刻蚀。但这种方法的缺点是刻蚀速度较慢,因为它完全依赖于离子碰撞引起的物理反应。最后的第三种方法就是反应离子刻蚀(RIE)。RIE结合了前两种方法,即在利用等离子体进行电离物理刻蚀的同时,借助等离子体活化后产生的自由基进行化学刻蚀。除了刻蚀速度超过前两种方法以外,RIE可以利用离子各向异性的特性,实现高精细度图案的刻蚀。光刻是通过光线将电路图案“印刷”到晶圆上,我们可以将其理解为在晶圆表面绘制半导体制造所需的平面图。电路图案的精细度越高,成品芯片的集成度就越高,必须通过先进的光刻技术才能实现。具体来说,光刻可分为涂覆光刻胶、曝光和显影三个步骤。 ① 涂覆光刻胶在晶圆上绘制电路的第一步是在氧化层上涂覆光刻胶。光刻胶通过改变化学性质的方式让晶圆成为“相纸”。晶圆表面的光刻胶层越薄,涂覆越均匀,可以印刷的图形就越精细。这个步骤可以采用“旋涂”方法。根据光(紫外线)反应性的区别,光刻胶可分为两种:正胶和负胶,前者在受光后会分解并消失,从而留下未受光区域的图形,而后者在受光后会聚合并让受光部分的图形显现出来。② 曝光在晶圆上覆盖光刻胶薄膜后,就可以通过控制光线照射来完成电路印刷,这个过程被称为“曝光”。我们可以通过曝光设备来选择性地通过光线,当光线穿过包含电路图案的掩膜时,就能将电路印制到下方涂有光刻胶薄膜的晶圆上。在曝光过程中,印刷图案越精细,最终的芯片就能够容纳更多元件,这有助于提高生产效率并降低单个元件的成本。在这个领域,目前备受瞩目的新技术是EUV光刻。去年2月,泛林集团与战略合作伙伴ASML和imec共同研发出了一种全新的干膜光刻胶技术。该技术能通过提高分辨率(微调电路宽度的关键要素)大幅提升EUV光刻曝光工艺的生产率和良率。③ 显影曝光之后的步骤是在晶圆上喷涂显影剂,目的是去除图形未覆盖区域的光刻胶,从而让印刷好的电路图案显现出来。显影完成后需要通过各种测量设备和光学显微镜进行检查,确保电路图绘制的质量。薄膜沉积词典中的“薄膜(thin film)”是指仅靠机械加工 无法实现的在1微米(um,百万分之一米)以下 的薄膜。在晶圆上加入所需分子或原子单位薄膜 的一系列过程叫做沉积(Deposition)。由于厚度 本来就薄,为了在晶圆上均匀地形成薄膜,需要 精巧、细致的技术。沉积的方法大致分为两种,物理气相沉积方法 (Physical Vapor Deposition, PVD)和化学气相 沉积方法(Chemical Vapor Deposition, CVD)。 物理气相沉积法主要用于金属薄膜的沉积,不会产生化学反应。化学气相沉积法是通过以蒸气态或气态的气体与外部能量发生化学反应,从而形 成沉积的方法。该技术可用于导体、绝缘体和半导体的薄膜沉积。 目前,半导体工艺主要使用的是化学气相沉积法。根据所使用的外部能量不同,化学气相沉积可分为热化学气相沉积(thermal chemical vapor deposition, TCVD),等离子体化学气相 沉积(plasma chemical vapor deposition, PCVD)和光化学气相沉积(photo chemical vapor deposition, Photo-CVD)。由于等离子体 化学气相沉积可以在低温下形成,可控制使其厚度均匀,且可大规模加工,因此被广泛使用。 通过沉积工艺形成的薄膜大致分为连接电路间 电信号的金属膜(导电)层和电气分离内部连接 层或切断污染源头的绝缘膜层。专业名词及其缩写不懂缩写,你会疯的!这个行业实在是太爱缩写了!Introduction to AMHS systemAMHS:
automatic material handling systemAGV:
Automatic Guided VehiclesOHS:
Overhead ShuttleCLL:
Clean LiferMGV:
Manual GuidedMES:
Manufacture Executive SystemPLC:
Programmable Logic ControllerIR:
infraredIFIR:
infrared
interfaceCCU:
Central Control UnitSCU:
Section Control UnitTFT-LCD: Thin-film Transistor Liquid Crystal DisplayCLL:
clean lifter
(crane)STK:
stockerMIF:
Mechanical
InterfaceEQ:
equipmentSPC:
Statistical Process ControlSD:
State DisplayHUB:
网络集线器, 网络中心BCR:
Bar Coder ReaderDI/O:
Data input/outputOPT:
opticalRM:
Rack MasterRM –i/f:
Rack Master InterfacePIO:
process input/output which is guided by optical sensorsP&P:
Pick up and PutdownVTC:
Vehicle Transfer ControllerIRIF:
infrared interface, installed under ceiling of the AGV route for communication between NT7000 and AGV.MIP:
Local controller for mechanical and electrical parts of
stocker.PIO:
Optical communication interface installed on the front of equipment when cassette Loading\ Unloading.SCU:
section control unit for overhead shuttles, which control (stop\drive)the passing by shuttle within that section.CCU:
central control unit for overhead shuttles, for command execution and status report.MCS:
module control subsystem transportation control dispatch, equipment status inventory control, transportation logging are its responsibility.TCS:
tool control subsystemIntroduction
of
CIMCIM:
Computer Integrated ManufactureF A:
Factory AutomationCFM:
Center Flow Monitor It is a signal reflecting whether
communication
performed or not and whether it go on normally or not .SPC:
Statistical Process ControlAMS:
Alarm systemHIS:
Historical progress Data Management
Historical Quality Data ManagementEDA:
Engineering Data AnalysisOPI:
Operator InterfaceBRM:
Basic Record ManagementPPT:
Product and Process Tracking (more details offered in OPI lecture explanation)SCH:
Schedule ManagementWCFM:
Web Central Floor ManagementPMS:
Preventive Maintenance SystemALM:
Alarm SystemBC:
Block ControlSC:
Stocker ControllerMCS:
module control subsystem transportation control dispatch, equipment status inventory control, transportation logging are its responsibility.TCS:
tool control subsystemEQP:
equipmentAS\RS:
Automatic Storage /Retrieval SystemCEM:
Central Flow MonitorPPT:
product and process tracking
the
core of MESRCM:
Recipe Control and ManagementBRM: basic record managementSAMP:
sampling
automationMQC:
Machine Quality CheckDUMY:
dummy sheet for experimentWIP:
Work In Process, a lot of
semi-manufacture material in module but not in processing. In auto mode control, MES passes message to equipment or sends the information back to MES by TCS.PEWK:
rework
We have to redo a particular
step that has leaded to the defect; or, aborting the panel is unavoidableBRCH:
branch route.
With the purpose of reinforcing the flexibility, we can adjust our product line timely to whet our customer’s appetites. Setting is like a REWK route, but it is not rework.
The product line
turn to branch route when the lot’s parameter name\value is as same as equipment’s parameter name\value. It means Condition of branchCRCL:
cleaning route for carrierEQTR:
Equipment Transition TypeDUMY:
route for dummy sheetWIP:
working in processQRS:
Q-Restriction, it has two types. One is Maximum Q-Time; he other is Minimum Q-time; meanwhile, QRS Operation should be processed between them.EC CODE:
Engineering Change CodeBCS Node:EQRM:
Equipment Run ModeEQAR:
Equipment
Area CodeSTB:P6OPI
lecture
explanationMCS:
module control subsystem
transportation control dispatch, equipment status inventory control, transportation logging are itsresponsibility.OPI:
operation interface (more details offered in OPI lecture explanation)PPT:
Product and Process Tracking (more details offered in OPI lecture explanation)TCS:
Tool Control Subsystem
In auto mode control, MES passes message to equipment or sends the information back to MES by TCS.REWK:
rework
We have to redo a particular
step that has leaded to the defect; or ,aborting the panel is unavoidableBRCH:
branch route.
With the purpose of reinforcing the flexibility, we can adjust our product line timely to whet our customer’s appetites. Setting is like a REWK route, but it is not rework.
The product line turn to branch route when the lot’s parameter name\value is as same as equipment’s parameter name\value. It means Condition of branch.OPER:
operationDEPT:
departmentMQC:
machine quality check route. This route is used for
only MQC Lot.TCS:
tool control subsystemPPT:
product and process trackingBR:
Basic RecordThe Common Specification of Equipment Automation for TFTTFT: Thin-Film TransistorCIM:
Computer Integrated ManufactureLDRQ:
load
requestLDCM:
load completeUDRQ:
unload requestUDCM:
unload completeDOWN:
equipment is disableSECS:
the communication protocol at TFT shopHSMS:
message
protocolMSB:
most significant byteLSB:
least significant byteLOT STATE DEFINITIONS:PROC:
processingPREN:
process endABND:
abnormal endABOT:
abort endCAEN:
cancel endS1F1:
S: stream
F: functionCONTRACT
SPECIFICARACT (cell)DFK:
DAIFUKU 日本大福公司BCR:
Bar Coder ReaderT.B.D:
to be determinedElectrical Power: AC208V±10% 3P 3W E3 GPS\ EPSGPS:
General Power SupplyEPS:
Emergency Power SupplyUPS:
Uninterruptible Power supplyCLS:
Clean Liter SystemR\M:
Rack MasterCLL:
CLean LifterCSC:
one part of OHSspot Mg:
spot magnetSS Radio:
Spread Spectrum Radio 展布频谱MIF:
Mechanical
InterfaceLED:
Low Emitting Diode, 发光二极管ODT:
Optical Data TransmitMCP7
Master Control Processor
number sevenMES:
Manufacture Executive SystemMCP:
Master Control ProcessorMCS:
Module Control System It bears the responsibility for transportation command dispatch, equipment status Inventory control, transportation logging.BCR:
Bar Coder ReaderRM –i/f:
Rack Master InterfaceE23:
Semi Standard CodeSD:
Status DisplayVGC:
Vehicle Ground ControllerVTC:
Vehicle Transfer ControllerMCP:
Master Control ProcessorEMS:
EMergence StopR\M:
Rack MasterNG:
NotGoodConv:
MGV/AGV I/O ConveyorTFE:
Transfer EquipmentGUI:
Graphic User Interface 图形用户界面MCBF:
Mean Cycle Between FailureDIO:
Digital Input/ OutputOS:
Operation SystemEMO:
Emergence Off
When any EMO is pressed, power to the related device is shut down and the device will be stopped.HP:
Home PositionOP:
Opposite PositionLAN:
局域網HDD:
Hard DiskFDD:
Floppy DiskPCI:
Peripheral Component Interconnect 一種接口FFU:
one kind of aerial dust filterKVA:
1000
voltages multiples ampere . It is a unit of Power.GPS:
General Power SupplyEPS:
Emergency Power SupplyHID:
High Efficiency Inductive Power DistributionMCP:
Master Control ProcessorAP:
Access PointSpot Mg:
Spot MagnetLFT:
LifterM/L:
Maintenance LifterOHS:
Overhead Hoist System-C:
controllerDC:
直流電源其他TCS:Tool Control SystemBC:Block ControlDCS:Dispatch Control SystemMCS:Material Control SystemFA:Factury AutomationAS:Automatic StorageRS:Retrieval SystemEWS:Engineering Workstation SeverSCH:HIS:HistoryOCAP:Out of Control Action Plan,失控行动计划CST:cassetteTAT:Turn Around Time缩写全称描述BMTBlock Mouting粘棒WSWWire Saw线切SWCSliced Wafer Cleaning脱胶后清洗SOESliced Orientation Evaluation晶向检测SWESliced Wafer Evaluation平坦度检测4PPFour Point四探针检测EDGEdge Grinding倒角EDEEdge Grinding Profile Evaluation倒角轮廓检测LAPLapping磨片LWELapping Wafer Evaluation磨片后检测HLMHard Laser Evaluation打标CAECaustic Etching碱腐CEECaustic Etching Evaluation碱腐后检测DSPDouble side polishing双抛DPCDouble side polishing cleaning双抛后清洗DWEDSP Wafer Evaluation双抛后检测EPCEdge Polishing Wafer Cleaning边抛后清洗CMPFinal Polishing最终抛光CMEPartical Evaluation After CMP终抛后颗粒检测WFIWafer Inspection终抛后目检仪检测FNCFinal Cleaning最终清洗EPEEPI Partical Evaluation(Pre)外延前颗粒检测PKGPackaging包装其他参考资料
(报告出品方/作者:中泰证券,王可、张晨飞)1.先进封装大势所趋,国内渗透率有望加速提升1.1 半导体封装技术持续发展,由传统到先进半导体封装定义:将生产加工后的晶圆进行切割、焊线、塑封,使电路 与外部器件实现连接,并为半导体产品提供机械保护,使其免受物理、 化学等环境因素损失的工艺。半导体封装技术发展历程:由传统到先进。 第一阶段(20 世纪 70 年代之前),通孔插装时代:典型的封装形式包括 最初的金属圆形(TO 型)封装、双列直插封装(DIP)等; 第二阶段(20 世纪 80 年代以后),表面贴装时代:从通孔插装型封装向 表面贴装型封装的转变,从平面两边引线型封装向平面四边引线型封装 发展; 第三阶段(20 世纪 90 年代以后),面积阵列封装时代:从平面四边引线 型向平面球栅阵列型封装发展,引线技术从金属引线向微型段焊球方向 发展。 第四阶段(2010 年之后),先进封装时代:先进封装技术成为延续摩尔 定律的最佳选择,在不提高半导体芯片制程的情况下能够进一步提高集 成度,显现终端产品轻薄短小等效果。1.2 后摩尔时代,先进封装发展趋势确定受物理极限和成本制约,摩尔定律逐步失效。半导体制造中,工艺制程 持续微缩导致晶体管密度逼近极限,同时存在短道沟效应导致的漏电、 发热和功耗严重问题。工艺节点较高时,每次工艺节点的提高都会带来 成本的非线性增加,在资本支出大幅提高的背景下,技术节点的变迁在 逐渐变缓。封装在半导体技术中的重要性逐步提高。根据国际集成电路技术发展路 线图预测,未来半导体技术的发展将集中于三个方向:(1)继续遵循摩 尔定律缩小晶体管特征尺寸,以继续提升电路性能、降低功耗,即 More Moore;(2)向多类型方向发展,拓展摩尔定律, 即 More Than Moore; (3)整合 System on Chip(SoC,系统级芯片)与 System in Package (SiP,系统级封装),构建高价值集成系统。在后两个发展方向中,封 装技术的重要性大幅增强。先进封装提高封测环节产业价值。从产业环节价值看,传统封测技术含 量相对较低,但随着先进封测技术的发展演进,更加突出芯片器件之间 的集成与互联,实现更好的兼容性和更高的连接密度,先进封测已然成 为超越摩尔定律方向的重要赛道,让封测厂商与设计端、制造端联系更 为紧密,进一步抬升封测环节的产业价值。后摩尔时代,先进封装成为趋势。先进封装是在不要求提升芯片制程的情况下,实现芯片的高密度集成、体积的微型化,并降低成本,符合高 端芯片向尺寸更小、性能更高、功耗更低演进的趋势。传统封装的功能 主要在于芯片保护、电气连接,先进封装在此基础上增加了提升功能密 度、缩短互联长度、进行系统重构的三项新功能。在后摩尔时代,人们 开始由先前的“如何把芯片变得更小”转变为“如何把芯片封得更小”, 先进封装成为半导体行业发展重点。1.3 国内先进封装占比低,半导体产业发展推动其渗透率加速提高半导体封测市场规模持续增长。根据 YOLE 数据,2021 年全球集成电 路封测行业市场规模为 713 亿美元,同比增长 5.32%,2017-2021 年 CAGR 为 3.3%。2021 年中国封测产业市场规模为 2763 亿元,同比增 长 10.1%。2017-2021 年,中国大陆封测产业市场规模 CAGR 为 9.9%, 增速高于全球。先进封装占比持续提升。根据 Yole 预计,到 2025 年先进封装的全球市 场规模约 420 亿美元,先进封装在全球封装的占比从 2021 年的 45%增 长到2025年的49.4%,2019-2025年全球先进封装市场的CARG约8%, 高于传统封装市场和整体封装市场增速。国内先进封装渗透率低,行业发展推动渗透率加速提高。根据 Frost & Sullivan 数据,2020 年中国大陆封装市场规模 2509.5 亿元,其中先进封装市场规模 351.3 亿元,占大陆封装市场规模的比例约 14%。随着中 国大陆半导体产业发展,尤其是先进制程比例的提高,先进封装渗透率 有望加速提高。根据 Frost & Sullivan 预测,2021-2025 年,中国先进封 装市场规模复合增速达到 29.9%,预计 2025 年中国先进封装市场规模 为 1137 亿元,占中国大陆封装市场的比例将达到 32.0%。2.先进封装工艺:高度集成和高度互联2.1 半导体封装工艺与设备传统半导体封装流程:传统半导体封测的工艺包括晶圆背面减薄、划片、 固晶、键合、塑封、打标、电镀、切近筋成型、检测。对应设备分别为 磨削设备、划片机、固晶机、键合机、塑封机、激光打标机、电镀设备、 切筋成型设备、测试机等。晶圆背面减薄:将从晶圆厂出来的 Wafer 进行背面研磨,来减薄晶圆达 到封装需要的厚度。晶圆背面减薄的目的是降低封装贴装高度,减小芯 片封装体积,改善芯片的热扩散效率、电气性能、机械性能及减小划片 的加工量。晶圆减薄工艺:首先在正面(Active Area)贴胶带保护电路 区域,同时研磨背面。研磨之后,去除胶带,测量厚度。其中,磨削包 括粗磨、精磨和抛光三个阶段。晶圆划片:在一个晶圆上,通常有几百个至数千个芯片连在一起。它们 之间留有 80um 至 150um 的间隙,此间隙被称之为划片街区(Saw Street)。划片将每一个具有独立电气性能的芯片通过切割分离出来。晶 圆划片主要有刀轮切割和激光切割两种,目前刀轮切割占据主流路线。固晶:将芯片从已经切割好的晶圆上抓取下来,并安臵在基板对应的位 臵上,对应设备为固晶机。固晶工艺的主要要求是固晶速度和固晶准确 性,IC 制造对放臵精度要求很高,而传感器、分立器件、光通信模块、 功率器件和 LED 器件对精度要求相对较低。半导体键合:使用金属丝(金线、铜线、铝线等),利用热压或者超声能 源,完成芯片与电路或引线框架之间的连接。按照工艺技术,键合分为 球形焊接(ball bonding)和楔形焊接(wedge bonding);按照焊接原 理分为热压焊、超声波焊、热超声波焊。塑封:将芯片可靠地封装到一定的塑料外壳内。塑封体对原本裸露于外 界的芯片、器件以及连接线路进行支撑、保护,保证了芯片使用的可靠 性。激光打标、引脚电镀、切筋成型:对塑封后的芯片进行激光打标、引脚 电镀、切筋成型等处理。其中切筋成型是将已完成封装的产品成型为满 足设计要求的形状与尺寸,并从框架或基板上切筋、成型、分离成单个 的具有设定功能的成品的过程。在芯片塑封后,其稳定性大幅增强,因 此塑封之后封装设备的技术要求相对较低。2.2 先进封装工艺:向高度集成和高度互联发展先进封装向高度集成和高度互联发展,主要由四要素构成:RDL(再布 线)、TSV(硅通孔)、Bump(凸块),Wafer(晶圆),其中 RDL 起着 XY 平面电气延伸的作用,TSV 起着 Z 轴电气延伸的作用,Bump 起着 界面互联和应力缓冲的作用,Wafer 则作为集成电路的载体以及 RDL 和TSV 的介质和载体。现阶段先进封装主要是指倒装焊(Flip Chip)、 晶圆 级封装(WLP) 、2.5D 封装(Interposer) 、3D 封装(TSV)、ChipLET 等。倒装工艺:指在芯片的 I/O 焊盘上直接沉积,或通过 RDL 布线后沉积 凸块(Bump),然后将芯片翻转,进行加热,使熔融的焊料与基板或框 架相结合,芯片电气面朝下。与引线键合工艺相比,倒装工艺具备多个优点:(1)I/O 密度高;(2) 互联长度大幅缩短,互连电阻、电感更小;(3)芯片中产生的热量可通 过焊料凸点直接传输刀封装沉底,芯片散热性更好。2.5D 封装与 3D 封装:2.5D 封装:裸片并排放臵在具有硅通孔(TSV)的中介层顶部。其底座, 即中介层,可提供芯片之间的互联; 3D 封装:又称为叠层芯片封装技术,3D 封装可采用凸块或硅通孔技 术(Through Silicon Via,TSV),TSV 是利用垂直硅通孔完成芯片间互 连的方法,由于连接距离更短、强度更高,能实现更小更薄而性能更好、 密度更高、尺寸和重量明显减小的封装,而且还能用于异种芯片之间的 互连。WLP(Wafer Level Package):晶圆级封装,直接在晶圆上进行大部 分或全部的封装测试程序,之后再进行切割制成单颗芯片。采用这种封 装技术,不需要引线框架、基板等介质,芯片的封装尺寸减小,批量处 理也使生产成本大幅下降。WLP 可分为扇入型晶圆级封装(Fan-In WLP)和扇出型晶圆级封装 (Fan-Out WLP)两大类: 扇入型:直接在晶圆上进行封装,封装完成后进行切割,布线均在芯片 尺寸内完成,封装大小和芯片尺寸相同; 扇出型:基于晶圆重构技术,将切割后的各芯片重新布臵到人工载板上, 芯片间距离视需求而定,之后再进行晶圆级封装,最后再切割,布线可 在芯片内和芯片外,得到的封装面积一般大于芯片面积,但可提供的 I/O 数量增加。SiP:(System in Packag,系统级封装):将多种功能芯片,包括处理 器、存储器、FPGA 等功能芯片集成在一个封装内,从而实现一个基本 完整的功能。与系统级芯片(System on Chip,SoC)相对应,不同的 是系统级封装是采用不同芯片进行并排或叠加的封装方式,而 SoC 则 是高度集成的芯片产品。Chiplet:通过总线和先进封装技术实现异质集成的封装形式;chiplet 的优势:(1)降低单片晶圆集成工艺良率风险,达到成本可控,有设计 弹性,可实现芯片定制化;(2)Chiplet 将大尺寸的多核心的设计,分 散到较小的小芯片,更能满足现今高效能运算处理器的需求;(3)弹性 的设计方式不仅提升灵活性,且可实现包括模块组装、芯片网络、异构 系统与元件集成四个方面的功能。Chiplet 可实现异构集成与异质集成。(1)异构集成:将多个不同工艺节 点单独制造的芯片封装到一个封装内部,可以对采用不同工艺、不同功 能不同制造商制造的组件进行封装。例如将不同厂商的 7nm、10nm、 28nm、45nm 的小芯片通过异构集成技术封装在一起;(2)异质集成: 将不同材料的半导体器件集成到一个封装内,可产生尺寸小、经济性好、 灵活性高、系统性能更佳的产品。如将 Si、GaN、SiC、InP 生产加工的 芯片通过异质集成技术封装到一起,形成不同材料的半导体在同一款封 装内协同工作的场景。3.先进封装推动封装设备价值增厚,自主可控为国内企业带来机遇3.1 先进封装工艺推动封装设备量价齐升半导体封装设备包括磨片机、划片机、固晶机、键合机、塑封设备、打 标设备等。半导体封装设备市场空间:根据 SEMI 数据,2022 年全球半导体设备市 场规模为 1076 亿美元,同比增长 5%。2022 年中国大陆半导体设备销 售额为 282.7 亿美元,同比下降 5%。根据 SEMI 数据,2021 年全球半 导体封装设备市场规模为 71.7 亿美元,占同期全球半导体设备市场规模 的比例约为 7%。先进封装发展增大封装设备需求。(1)先进封装中,芯片层数增加,芯 片厚度需要更加轻薄以减小体积,因此减薄设备需求增加;(2)ChipLET 中,芯片变小且数量变多,划片时需要将晶圆切割为更多小芯片,先进 封装中划片机需求的数量和精度都会提升;(3)芯片变小且数量提高之 后,对固晶机的需求量和精度要求都会提升。先进封装发展推动测试设备需求增长。在 SIP 或 ChipLET 中,一个塑 封体中封装了多个小芯片,若其中部分芯片不良,则会导致整个大芯片 整体无法正常工作。因此先进封装中,需要对小芯片进行全检,测试设 备需求增加。3.2 先进封装带来新设备需求3.2.1、Bump(凸块)工艺与设备凸块工艺:凸块是定向指生长于芯片表面,与芯片焊盘直接或间接相连 的具有金属导电特性的突起物。根据材料,凸块可分为金凸块、铜镍金 凸块、铜柱凸块、焊球凸块。凸块是芯片倒装必备工艺,是先进封装的 核心技术之一。金/铜凸块工艺:(1)采用溅射或其他物理气相沉积的方式再晶圆表面沉 积一层 Ti/Cu 等金属作为电镀的种子层;(2)在晶圆表面涂一定厚度的 光刻胶,并运用光刻曝光工艺形成所需要图形;(3)对晶圆进行电镀, 通过控制电镀电流大小、电镀时间等,从光刻胶开窗图形底部生长并得 到一定厚度的金属层;(4)去除多余光刻胶。 锡凸块工艺:与铜柱凸块流程相似,凸块结构主要由铜焊盘和锡帽构成 (一般配合再钝化和 RDL 层),差别主要在于焊盘的高度较低,同时锡 帽合金是成品锡球通过钢板印刷,在助焊剂以及氮气环境下高温熔融回 流与铜焊盘形成的整体产物。锡凸块一般是铜柱凸块尺寸的 3~5 倍,球 体较大,可焊性更强(也可以通过电镀形成锡球)。 铜镍金凸块工艺:采用晶圆凸块的基本制造流程,电镀厚度超过 10μm 以上的铜镍金凸块。新凸块替代了芯片的部分线路结构,优化了 I/O 设 计,大幅降低了导通电阻。3.2.2、TSV(硅通孔)工艺与设备TSV(Through Silicon Via)即硅通孔技术,是一种利用垂直硅通孔实 现芯片互连的方法,相比于传统引线连接,具有更短的连接距离、更高 的机械强度、更薄的芯片厚度、更高的封装密度,同时还可以实现异种 芯片的互连。TSV 的制作工艺流程:在硅片上刻蚀通孔,侧壁沉积金属粘附层、阻挡 层和种子层,TSV 通孔中电镀铜金属作为导体,使用化学机械抛光(CMP) 将硅片减薄,最后叠层键合。TSV 关键工艺与设备: 1、通孔刻蚀:深反应离子刻蚀(DRIE)、激光刻蚀、湿法刻蚀; 2、绝缘层、阻挡层和种子层沉积:等离子体化学气相沉积(PECVD)、 金属有机化学气相沉积(MOCVD)、物理气相沉积(PVD); 3、通孔内导电物质填充:MOCVD 填充钨、LPCVD 填充多晶硅、电镀 填充铜; 4、晶圆减薄:化学机械抛光(CMP); 5、叠层键合:氧化物键合、金属键合、粘合剂键合;3.3.3、RDL(再布线)工艺与设备RDL(ReDistribution Layer,重布线层):是实现芯片水平方向互连的 关键技术,可将芯片上原来设计的 I/O 焊盘位臵通过晶圆级金属布线工 艺变换位臵和排列,形成新的互连结构。 RDL 的工艺流程:(1)形成钝化绝缘层并开口;(2)沉积粘附层和种子 层;(3)光刻显影形成线路图案并电镀填充;(4)去除光刻胶并刻蚀粘 附层和种子层;(5)重复上述步骤进行下一层的 RDL 布线。RDL 需要 的设备包括曝光设备、PVD 设备等。3.4 产业转移+下游驱动,半导体封装设备国产化持续推进半导体产业总共经历了三次产业迁移。(1)第一次是从 20 世纪 80 年代 开始,由美国本土向日本迁移,成就了东芝、松下、日立、东京电子等 知名品牌;(2)第二次是在 20 世纪 90 年代到 21 世纪初,由美国、日 本向韩国以及中国台湾迁移,造就了三星、海力士、台积电、日月光等 大型厂商;(3)目前,全球正经历半导体产业链的第三次转移,由中国 台湾、韩国向中国大陆迁移,持续的产能转移不仅带动了中国大陆集成 电路整体产业规模和技术水平的提高,为集成电路装备制造业提供了巨 大的市场空间。美国对中国半导体产业的限制不断升级。2022 年 10 月 7 日,美国商务 部工业与安全局(BIS)公布了《对向中国出口的先进计算和半导体制 造物项实施新的出口管制》,此次出口管制新规中,美国商务部以国家安 全为由,对向中国出口的芯片和相关生产工具增加了限制,内容主要包 括:(1)限制中国企业获取高性能芯片和先进计算机;(2)限制美国人 为涉及中国的特定半导体活动提供支持;(3)限制中国获取先进半导体 制造物项与设备;(4)新增 31 家中国实体公司、研究机构列入 UVL(未 经核实清单)名单等。这是自 2018 年以来,美国对中国半导体产业制 裁的再次升级,半导体作为国家信息产业基石,自主可控迫在眉睫。半导体设备国产化率持续提升。根据 SEMI(国际半导体产业协会)数 据显示,中国大陆半导体设备市场在 2013 年之前占全球比重小于 10%, 2014-2017 年提升至 10-20%,2018 年之后保持在 20%以上,2020 年 中国大陆在全球市场占比实现 26.30%,较 2019 年增长了 3.79 个百分 点,2021 年中国大陆在全球市场占比实现 28.86%,中国大陆半导体设 备市场份额保持上升趋势。封测是我国半导体产业竞争力最强的环节,下游市场的成熟为封装设备 国产化奠定良好基础。与设计和晶圆制造相比,封装行业进入壁垒较低, 因此在中国集成电路发展早期,众多企业选择以封测环节作为切入口, 并不断加强对海内外企业并购动作,以持续扩大公司规模。目前封测已 成为中国大陆半导体产业链中竞争力最强的环节,根据华经产业网数据, 2021 年长电科技、通富微电、华天科技三家企业占全球封测市场的 20.1%。4.重点公司分析4.1、芯碁微装:直写光刻设备龙头,先进封装打开成长空间公司是直写光刻设备龙头。公司成立于 2015 年,以直写光刻底层技术 为核心,发展 PCB、泛半导体、光伏铜电镀三大领域。直写光刻是应用 广泛的图形化工艺,公司技术在该领域处于领先水平,是以微纳直写光 刻技术为核心的平台型企业。公司业绩快速增长,盈利能力稳定。2017-2022 年,公司营业收入由 0.22 亿元增长至 6.53 亿元,CAGR 约 97.0%;归母净利润由-0.07 亿元增长 至 1.37 亿元。2022 年公司销售毛利率和销售净利率分别为 43.17%、 20.94%,处于较高水平。近三年公司盈利能力趋于平稳。直写光刻在先进封装光刻领域具备优势,公司有望受益于先进封装发展。 先进封装形式更为灵活,例如芯片重构后存在位臵偏移等情况,而掩膜 光刻的图案难以直接改变。直写光刻采用数字化掩膜版,更加灵活,因 此在先进封装领域更具优势。公司 WLP2000 光刻机,可用于先进封装 的 BUMP、RDL、WLP 等工艺,有望受益于先进封装行业发展。4.2、新益昌:国产固晶机龙头,半导体固晶机快速发展公司是国内固晶机龙头。公司成立于 2006 年,目前公司已经成为国内LED 固晶机、电容器老化测试智能制造装备领域的领先企业,同时凭借 深厚的研发实力和持续的技术创新能力,成功进入了半导体固晶机和 MiniLED 固晶机市场。此外,公司部分智能制造装备产品核心零部件如 驱动器、高精度读数头及直线电机、音圈电机等已经实现自研自产,是 国内少有的具备核心零部件自主研发与生产能力的智能制造装备企业。公司业绩持续增长。2017-2022 年,收入由 5.05亿元增长至11.84 亿元, CAGR 约 18.6%;归母净利润由 0.52 亿元增长至 2.05 亿元,CAGR 约 31.6%。2019-2022 年,近三年收入 CAGR 21.8%,归母 CAGR 32.3%。 2022 年,公司销售毛利率和销售净利率分别为 43.64%、17.18%。 2017-2022 年,公司毛利率由 25.23%提升至 43.64%,盈利能力逐步提 升。半导体固晶机市场规模大,进口依赖度较高。根据 Yole development, 预计 2024 年全球半导体固晶机市场规模为 10.83 亿美元。国内企业主 要向 ASMPT 和 BESI 采购半导体固晶机,进口依赖度较高。公司半导体固晶机快速发展,行业认可度高。公司在半导体设备领域已 具有较强的市场竞争力及较高的品牌知名度,封测业务涵盖 MEMS、模 拟、数模混合、分立器件等领域,客户包括晶导微、灿瑞科技、扬杰科技、通富微、固锝电子、华天科技等知名公司。公司半导体固晶设备近 年来客户导入顺利,受到业内认可,业务收入得到快速增长。4.3、光力科技:国内半导体划片机设备龙头公司已成为半导体封测设备以及关键零部件领域龙头企业。2016 年以 来公司通过持续收购 LP、LPB、ADT 等公司迅速进入了半导体划片机 及核心零部件空气主轴领域,根据公司 2022 年 4 月 12 日发布的投资者 调研纪要,公司的半导体划片设备最关键的精密控制系统可以对步进电 机实现低至 0.1 微米的控制精度,处于业内领先水平。公司有望充分受 益于行业国产替代。2015-2022 年,收入由 1.20 亿元增长至 6.15 亿元;归母净利润由 0.24 亿元增长至 0.65 亿元。2019-2022 年,近三年收入 CAGR 27.47%,归 母CAGR 5.23%。2022年,公司销售毛利率和销售净利率分别为53.29%、 10.99%,毛利率保持稳定,净利率因研发费用率提高、投资净收益下降 等原因同比下滑。公司半导体划片机竞争力强。公司是全球排名前三的半导体切割划片装 备企业,并同时拥有切割划片量产设备、核心零部件——空气主轴和刀 片等耗材的企业,可以为客户提供个性化的划切整体解决方案。公司高 端切割划片设备与耗材可以用于先进封装中的切割工艺。公司与日月光、 嘉盛半导体、长电科技、通富微电、华天科技等国内外封测头部企业建 立了稳定的合作关系。4.7、快克智能:电子装联设备龙头,布局半导体封测打开成长空间电子装联设备龙头,布局半导体封测设备。公司布局电子装联精密焊接 设备多年,2022 年荣获国家工信部电子装联精密焊接设备“制造业单 项冠军”。公司立足于国家半导体设备国产化战略方向,通过自主研发、 产学研合作、成立海外研发机构、并购扩张、产业基金合作等方式,多措并举打造国产化功率半导体封装核心设备,主要产品包括 IGBT 固晶 机、甲酸焊接炉、纳米银烧结设备等。业绩稳健增长,盈利能力强。2017-2022 年,公司营业收入由 3.62 亿元 增长至 9.01 亿元,CAGR 约 20.0%;归母净利润由 1.32 亿元增长至 2.73 亿元,CAGR 约 15.6%。公司为电子装联设备细分赛道隐形冠军,竞争 力强,盈利能力突出,2022 年公司销售毛利率和销售净利率分别为 51.92%、30.47%。4.4、劲拓股份:电子热工设备领先,半导体设备取得突破公司在电子热工领域处于领先地位,被行业协会授予“SMT 领域龙头企 业”,回流焊设备获国家工信部“制造业单项冠军产品”认证。公司自主 研发的检测设备和自动化设备实现对电子热工设备的辅助和功能扩展, 丰富了公司产品的应用场景,与电子热工设备配合为客户提供覆盖电子 产品 PCB 生产过程中插件、焊接和检测的整套系统解决方案。公司半导体专用设备已实现突破。公司研制生产了半导体芯片封装炉、 Wafer Bumping 焊接设备、真空甲酸焊接设备、甩胶机、氮气烤箱、无 尘压力烤箱等多款半导体热工设备、半导体硅片制造设备,并具备为客 户提供不同制造工艺设备的定制能力。公司半导体专用设备产品已累计 交付服务客户超过 20 家,获得客户的认可、验收及复购,成为公司战 略级业务和未来成长点。经营情况:2015-2022 年,公司营业收入由 2.57 亿元增长至 7.91 亿元, CAGR 约 17.4%;归母净利润由 0.32 亿元增长至 0.89 亿元,CAGR 约 15.7%。2022年,公司销售毛利率和销售净利率分别为37.38%、11.24%, 同比分别提高 7.56pct、4.13pct,公司毛利率提高,主要原因为产品结 构调整、精益生产措施落实等。4.5、耐科装备:国内塑封设备知名企业公司是国内半导体封装及塑料挤出成型智能制造装备领域知名企业。在 半导体封装装备领域,作为国内为数不多的半导体封装设备及模具国产 品牌供应商之一,公司已成为通富微电、华天科技、长电科技等头部半 导体封装企业的供应商。通过差异化的自主创新和研发,经过多年的发 展,掌握了成熟的核心关键技术和工艺,公司半导体封装设备与国际一 流品牌如日本 TOWA、YAMADA 等同类产品的差距正逐渐缩小。公司 目标是实现我国在半导体塑料封装装备领域的自主可控,在全球市场与 国际一流品牌进行同台竞技。在挤出成型装备领域,产品远销全球 40 多个国家和地区,服务于德国 Profine GmbH、美国 Eastern Wholesale Fence LLC、比利时 Deceuninck NV 等众多全球著名品牌,出口规模 连续多年位居我国同类产品首位。公司业绩持续增长。2017-2022 年,公司营业收入由 0.66 亿元增长至 2.69 亿元,CAGR 约 32.4%;归母净利润由 0.13 亿元增长至 0.57 亿元, CAGR 约 34.4%。2018-2020 年,公司毛利率下降,近年随着成本和费 用管控,公司盈利能力逐步趋于稳定。4.6、凯格精机:锡膏印刷设备龙头,推出晶圆级植球整线锡膏印刷设备龙头,布局半导体设备打开成长空间。公司主要从事自动 化精密装备的研发、生产、销售及技术支持服务,主要产品为锡膏印刷 设备、LED 封装设备、点胶设备和柔性自动化设备。公司布局半导体封 测设备,推出半导体固晶机、半导体点胶设备、晶圆级印刷植球整线等 产品,有望受益于先进封装产业发展。 公司业绩持续增长。2017-2022 年,公司营业收入由 3.46 亿元增长至 7.79 亿元,CAGR 约 17.6%;归母净利润由 0.45 亿元增长至 1.27 亿元, CAGR 约 23.1%。公司盈利能力稳定,2022 年销售毛利率和销售净利 率提高。(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)精选报告来源:【未来智库】。

我要回帖

更多关于 半导体封装测试企业排名 的文章