求推荐一家专业的半导体封装测试企业排名设备制造商。

1.无锡江阴江苏长电科技股份有限公司(一厂)江阴长电先进封装有限公司(二厂)江阴长电先进封装有限公司(JCAP)专注于领先的晶圆凸块技术(包括焊料凸块、金凸块和支柱凹凸)以及晶圆级芯片封装。2.无锡江阴星科金朋半导体(江阴)有限公司JCET成立于1998年,是中国最大的半导体封装和测试供应商,其产品包括分立器件、引线框封装、基板封装和圆片级封装(WLCSP)。3.无锡江阴盛合晶微半导体(江阴)有限公司盛合晶微半导体有限公司最初名为中芯长电半导体有限公司,于2014年8月成立。作为中国大陆首家专注于12英寸中段凸块和硅片级先进封装的企业,它也是最早宣布以3DIC多芯片集成封装为发展方向的企业之一。4.无锡天芯互联科技有限公司无锡分公司天芯互联科技有限公司为深南电子旗下全资子公司,根据公开信息,天芯互联依托系统级封装(SiP)和板级扇出封装(FOPLP)平台,为客户提供高集成小型化的半导体器件模组封装解决方案和半导体测试接口解决方案,产品广泛应用于高端医疗、工控、通信、半导体测试等领域。5.无锡中科芯集成电路股份有限公司中科芯具备集成电路设计、制造、测试、封装、可靠性、应用支持等完整的产业链,主要研发CPU、DSP、MCU、FPGA、MEMS、微系统等十大类1000多种产品。6.无锡无锡中微高科电子有限公司公司专业从事集成电路及组件的封装设计、封装及组装服务等相关业务,具备集成电路封装设计、封装工艺开发、批量生产及应用服务等能力。7.无锡无锡微电子科研中心 (中国电子科技集团公司第五十八研究所)中国电子科技集团公司第五十八研究所,又名无锡微电子科研中心,是以硅lsi/vlsi、asic为主攻研究方向的国家骨干集成电路研究所,拥有完整的集成电路设计、生产、掩模制版、测试、检测、封装、可靠性等完整的配套开发能力。8.无锡集萃半导体封装技术研究所研究所作为国家级封测/系统集成先导技术研发中心,通过以企业为创新主体的产学研用结合新模式,开展系统级封装/集成先导技术研究,研发2.5D/3D TSV互连及集成关键技术(包括TSV制造、凸点制造、TSV背露、芯片堆叠等)。在此如果你想要免费领取基础资料(ic各方向均可)或能力提升或项目资料等;又或者是你已经学习完毕,不知道如何描述项目、不懂得面试技巧、不会选择offer,又或者是你想了解更多关于ic/微电子/半导体的内容,点击下方:IC转行交流社区+入行指导+免费领资料+项目领取9.无锡摩尔精英摩尔精英自建近5,000平快速封装工程中心和1.5万平SiP先进封测基地,为客户提供快封打样/SiP&FCBGA设计生产/量产管理服务。在产品研发阶段提供优质高效的快封服务;同时拥有经验丰富的方案开发和SiP&FCBGA设计团队,为客户提供SiP&FCBGA产品从设计、仿真、打样到量产一站式交付;10.无锡华进半导体封装先导技术研发中心有限公司该公司致力于系统封装设计、2.5D/3D集成、晶圆级扇出封装、大尺寸FCBGA封装、光电合封、SiP封装等关键核心技术的研发。根据官方网站披露的信息,公司目前已经具备了量产2.5D TSV封装产线的能力。11.无锡全讯射频科技(无锡)有限公司全讯射频主要专注于陶瓷封装、晶圆级封装和薄膜滤波器封装技术,并提供分集前端模块、集成双工器的前端模块以及功率放大器前端模块等模组产品。12.南京华天科技(南京)华天科技是全球知名的半导体封装测试企业。公司专注于半导体集成电路和半导体元器件的封装测试业务,为客户提供一流的芯片成品封测一站式服务,涵盖封装设计、封装仿真、引线框架封装、基板封装、晶圆级封装、晶圆测试及功能测试、物流配送等。13.南京江苏芯德半导体科技有限公司江苏芯德半导体科技有限公司成立于2020年,根据公开信息查询,该公司专注于移动产品,并提供全方位的高科技中、后端封测服务,以满足客户的需求。公司的目标是成为Bumping、WLCSP、Flip Chip PKG、QFN、BGA、SIP、SIP-LGA、BGA、FOWLP、2.5D/3D、Chiplet PKG等高科技封装测试领域的研发制造中心。此外,公司还具备掩膜、层压板、引线框架设计和模拟能力,以支持封装工艺和设计需求。14.南京江苏晶度半导体科技有限公司江苏晶度半导体科技有限公司作为江苏壹度科技全资子公司,位于壹度科技园区6、8、10栋,厂房总建筑面积2.6万平方,设有百级无尘车间3500平方,千级无尘车间7500平方,半导体项目预计总投资12亿元,主要为行业提供LCD驱动IC的封装测试统包服务。15.南通通富微电子股份有限公司通富微电子股份有限公司成立于1994年,专门从事集成电路的封装和测试。公司具备每年15亿块集成电路的封装能力和6亿块集成电路的测试能力,是中国境内规模最大、产品品种最丰富的集成电路封装测试企业之一。作为AMD的主要供应商,根据官方公开信息,通富微电在先进封装技术方面做出了重要布局,包括多芯片组件、集成扇出封装、2.5D/3D等领域。公司提供多样化的Chiplet封装解决方案,并已实现量产,形成了差异化竞争优势。16.淮安江苏纳沛斯半导体有限公司江苏纳沛斯现拥有两条8寸Bumping线和一条12寸Bumping线,为中国及海外客户提供8英寸Au bump (含COG/COF)、Solder bump/WLCSP、Copper Pillar Bump、RDL、12英寸Copper Pillar Bump等多元化晶圆凸块(Bump)服务、及相关测试(CP/FT)和后段(Backend)一站式服务。17.苏州苏州通富超威半导体有限公司公司以科技创新引领集成电路封装测试产业为己任,主要从事高端处理器芯片封装测试业务,专注于处理器半成品切割、封装、测试、打标、包装五大后期制造流程,同时具备对中央处理器(CPU)、图形处理器(GPU)以及加速处理器(APU)进行封装和测试能力。18.苏州苏州固锝电子股份有限公司固锝电子在光学传感器、滤波器、胎压传感器等多个封装测试领域,处于行业的前沿位置。产品销售网络遍布国内外市场,在马来西亚有建立工厂,以进一步扩大对大客户的服务覆盖范围。19.苏州苏州晶方半导体科技股份有限公司公司的封装产品主要包括影像传感器芯片和生物身份识别芯片,在手机、安防监控、身份识别、汽车电子、3D传感等电子领域得到广泛应用。此外,公司通过并购和业务技术整合,成功发展微型光学器件的设计、研发和制造业务,并具备完整的晶圆级光学微型器件核心制造能力。20.苏州颀中科技(苏州)有限公司苏州颀中是颀中科技封装测试业务主要经营主体,苏州颀中为颀中科技子公司。颀中科技将技术研发作为企业发展的核心驱动力,在集成电路先进封装测试领域具有较强的技术储备和生产制造能力,该公司各主要工艺良率稳定保持在99.95%以上,处于业内领先水平。21.苏州苏州科阳半导体有限公司专注于先进封测技术的研发量产,拥有8吋和12吋晶圆级封装产品线,具有TSV、WLCSP、Bumping等多种封装能力,年产30亿颗芯片。CIS传感器、5G滤波器芯片产品可广泛应用于汽车电子、工业、5G通讯和IoT等领域。22.苏州工业园区苏州捷研芯电子科技有限公司苏州捷研芯电子科技有限公司(简称:捷研芯)坐落于苏州工业园区,由著名半导体公司专家和中科院菁英人才于2015年6月创办,拥有独立知识产权的微机电(MEMS)器件封装、测试、组装、系统集成和嵌入式开发技术。捷研芯主要提供MEMS传感器、射频滤波器、生物医疗类器件等产品从设计到规模量产的一站式封测服务;23.苏州工业园区矽品科技(苏州)有限公司是全球领先的集成电路封测服务商,公司于2001年在苏州工业园区设立,现有员工约5000人,涵盖了TFBGA、BGA、QFN、QFP、FC、Bumping等中高阶封装技术。24.苏州工业园区苏州碧宇重光半导体有限公司苏州碧宇重光半导体拥有主流的半导体封装测试设备及经验丰富的工作团队。主要提供流片代理,晶圆减薄、晶圆切割、挑粒、传统塑封、陶瓷管壳封装、SIP定制的一站式封装服务,产品类型包含WLCSP、Bump、RDL、TSV、BGA、LQFP、QFN/DFN、SOP/SSOP/TSOP、TO、COB等。行业覆盖医疗电子、微显示 (ar/vr)、光通讯、mems、5g模组等。25.苏州工业园区苏州甫一电子科技有限公司苏州甫一电子科技有限公司是于2015年由刘瑞博士创建,是一家从事三维金属化器件设计、研发、生产和销售的公司。核心团队来自上海交通大学、中国科学院、LG、希捷半导体等知名院校和企业,团队拥有10年以上的MEMS技术研发经验。26.苏州昆山立芯精密智造(昆山)有限公司
立讯电子科技(昆山)有限公司消费电子行业龙头,业务版图持续扩张。立讯精密是消费电子行业龙头公司,公司以连接器起家,目前产品覆盖消费电子、汽车电子、通信电子等三大领域,2022年收入占比分别为89.22%、2.87%、6.00%。公司历史曾有多次收购,持续提高产品线覆盖率,业务版图不断拓展,同时持续进行垂直整合,形成“零组件-模组-系统”的布层。27.苏州昆山华天科技(昆山)华天科技(昆山)电子有限公司系华天科技全资子公司,成立于2008年6月,主要从事超大规模半导体封装、测试及模组生产,拥有三大支撑项目:晶圆级芯片封装TSV、晶圆级光学镜头WLO、晶圆级摄像模组WLC。28.苏州力成科技(苏州)有限公司力成科技(苏州)有限公司是力成集团的全资子公司,力成集团是一家具有行业领导地位的半导体封装测试公司,服务范围涵盖晶圆针测、封装、测试和预烧,产品已实现全球出货。29.苏州太极半导体(苏州)有限公司是江苏省首家上市公司无锡市太极实业股份有限公司下属全资子公司,注册资本7.22亿元人民币。有16年集成电路封装和测试研发及制造经验,可以提供从IC封装研发(ARD)、测试开发(TRD)到模组开发(MRD)等完整的一站式服务。30.苏州苏州原位芯片科技有限责任公司原位芯片是全国少有并占据领先优势的拥有自己的MEMS设计、流片、封装和测试全流程能力的公司。公司以自研MEMS芯片为核心技术壁垒,在MEMS芯片基础上开发自己的MEMS模块,专注于基于MEMS的液体流量传感器、贴片式胰岛素泵芯片和氮化硅薄膜窗格等产品,此外公司还提供微纳流片代工服务。31.苏州苏州通富超威半导体有限公司苏州通富超威半导体有限公司公司位于中国苏州工业园区苏桐路88号、由通富微电子股份有限公司(通富微电)作为控股股东与美国超威半导体(AMD)共同合资成立。公司主要产品包括CPU(中央处理器)、GPU(图形处理器)、APU(加速处理器)以及Gaming Console Chip(游戏主机处理器)等。32.苏州苏州共进微电子技术有限公司苏州共进微电子技术有限公司成立于2022年1月,是上海共进微电子技术有限公司的全资子公司。2022年,该公司改造升级了1.8万平方米的研发中心和生产基地,项目计划总投资9.8亿元。据悉,共进微电子专注于智能传感器领域的先进封装测试业务,建设传感器封装测试量产产线,具备光学、声学、力学和生物等传感器的先进封装和测试能力。33.徐州江苏中科智芯集成科技有限公司作为集成电路先进封装研发与生产代工基地,中科智芯产品技术定位于:晶圆级先进封装,包括凸点/微凸点(Bumping)、晶圆级芯片封装(WLCSP)、扇出型封装 ( FOWLP)、和三维堆叠与系统集成封装(3D IC & SiP)。应用领域包括可移动、可穿戴等消费电子到高频通讯、生物传感电子、人工智能等领域。34.徐州江苏爱矽半导体科技有限公司爱矽科技横跨半导体芯片产业的”设计”与”封装测试”两个领域。在封测领域现有徐州封装厂以SOP/SOT,QFN,DFN等引线键合封装形式及高端SIP系统级封裝以及WLCSP等晶圆级封裝为主要封装形式,2022年12月于安徽阜阳设立“安徽爱测半导体有限公司”为专业测试厂,2023年2月于合肥巢湖新建“安徽爱矽半导体有限公司”,集功率半导体器件及功率集成电路封装测试厂,包含第三代半导体SiC的功率器件封测,产品广泛应用于智能手机、消费电子、安防产品及新能源汽车电子、汽车充电桩、光伏逆变器、微型逆变器、物联网等行业。35.扬州晶通(高邮)集成电路有限公司
(母公司:杭州晶通科技有限公司)晶通(高邮)集成电路有限公司成立于2021年1月,公司主要从事Chiplet Integration小芯片系统集成与FOSiP集成电路晶圆级扇出型Fan-out先进封装相关的设计研发、生产及销售,为客户提供先进的系统集成与封装解决方案。36.扬州江苏汇成光电有限公司汇成股份主营业务为显示驱动芯片的先进封装测试服务。6月17日,汇成股份发布公告宣布,公司拟发行可转债募资不超过12亿元,用于12吋先进制程新型显示驱动芯片晶圆金凸块制造与晶圆测试扩能项目、12吋先进制程新型显示驱动芯片晶圆测试与覆晶封装扩能项目,以及补充流动资金。37.上海日月光半导体(上海)有限公司
(日月光封装测试(上海)有限公司、日月新)日月光上海封测厂提供倒装芯片封装(Flip Chip)和系统级封装(SiP)的系统解决方案,BGA、CSP、QFP、QFN、SOP等传统焊线与先进微间距技术产品。测试技术支持所有类型的测试,包括类比、逻辑和混合信号等,并提供测试开发软件及服务。38.上海安靠封装测试(上海)有限公司安靠上海已拥有包括晶圆针测、封装、测试、凸块和指定交运在内的全套解决方案。安靠上海提供针对于逻辑芯片、数控芯片、存储芯片及射频芯片等移动设备应用领域主流芯片的完整测试方案,涵盖了晶圆测试、老化测试、电性能测试以及系统级测试等各种晶圆级和芯片级测试能力。39.上海浦东张江高科技园区上海纪元微科电子有限公司纪元微科电子前身为阿法泰克(上海)电子有限公司,成立于1995年,注册资本2500万美元,公司位于浦东张江高科技园区,是上海浦东张江地区首家引进的集成电路封装测试企业。MMS是国内最早的专业从事集成电路封装测试的企业。公司主要封装类型包括QFN/DFN、PLCC、SOIC、PDIP、TSOP等,年产能达到18亿块;主要的测试种类包括:模拟电路、数字电路、数模混合电路、存储器、分立器件和射频器件,成品测试年产能达到20亿块,芯片测试达到3万晶圆,WLCSP先进封装达到15万片。40.上海宝山区上海易卜半导体有限公司2022年7月,易卜半导体在上海机器人产业园启动产线建设,是上海唯一一家集设计和制造为一体的集成电路先进封装企业。该公司年产72万片12吋晶圆级先进封装研发、生产基地项目入选宝山区重大产业项目。在企业创建一周年之际,易卜半导体实现首条先进封装生产线正式通线。。41.上海环维电子(上海)有限公司环维电子(上海)有限公司(简称环维)成立于2013年9月,是隶属于全球ODM/EMS领导厂商及上海A股上市公司-环旭电子股份有限公司之全资子公司,同时也是全球大半导体封装测试厂——日月光集团成员之一。身为全球微小化系统级封装/模组(SiP/SiM)的领导者,金桥厂目前主要提供智慧型可穿戴式装置设计与制造服务。42.山东青岛青岛新核芯科技有限公司青岛新核芯科技有限公司成立于2020年,由富士康集团与西海岸新区融控集团共同合资建设。青岛新核科技规划中的产线包括4座封测厂,主要布局车用第三代半导体等功率元件封装。扇出型晶圆级封装目前没有公开产线信息。43.青岛歌尔微电子股份有限公司歌尔微电子主要以MEMS声学传感器产品为主,除晶圆制造外,业务涵盖芯片设计、产品开发、封装测试和系统应用等产业链关键环节。2018歌尔股份成立歌尔微电子,主营MEMS业务,2021年歌尔微电子分拆赴科创板上市,目前已过会。歌尔微电子是歌尔股份唯一MEMS业务单位。44.淮坊晶旺半导体(山东)有限公司总投资10亿元,一期租用8000平米厂房生产,新上集成电路晶圆焊垫凸块Bumping加工产线,化镀镍金、光阻设备23台套,产能48,000片/月,二期计划占地30-50亩,建设半导体高端封装测试产业园。45.德州威讯联合半导体(德州)有限公司Qorvo的生产、研发和销售中心遍布北美、欧洲和亚洲。产品主要是用于无线通讯的射频集成电路放大装置(RFICs)和信号处理传输设备。目前Qorvo是全球主要的功频放大器和滤波器供货商。公司的客户主要是苹果、三星、高通、小米、华为、中兴及众多国内/国外知名通讯行业先锋。46.烟台烟台睿创微纳技术股份有限公司睿创微纳公司地处烟台黄渤海新区,是专业从事专用集成电路、特种芯片及 MEMS传感器设计与制造技术开发的国家高新技术企业,具备多光谱传感研发、多维感知与AI算法研发等能力,是国内为数不多的具备红外探测器芯片自主研发能力并实现量产的公司之一。47.淄博山东齐芯微系统科技股份有限公司山东齐芯微系统科技有限公司成立于2011年1月,位于山东省淄博高新技术产业开发区,主要业务为集成电路(IC)芯片及微系统(MEMS)器件的设计、加工及封装测试;智能物联及仓储整体解决方案提供商。公司占地面积60亩,引进了当今国际集成电路及MEMS加工设备,年IC芯片封装测试能力为20亿个, MEMS器件加工能力为1000万个以上。48.浙江嘉兴浙江禾芯集成电路有限公司根据公司信息,浙江禾芯一期产线落地在了嘉兴,主要以倒装封装工艺为主,总投资约10亿元,于2022年初投产,规划产能年产3400万颗先进封装的生产能力。另外其业务规划中二期和三期产线主要以SiP、2.5D/3D封装为主。扇出型晶圆级封装,目前还处在研发阶段中。49.嘉兴浙江嘉辰半导体有限公司浙江嘉辰半导体有限公司于2021年1月成立,是一家技术领先的系统级异构集成结构设计、封装测试和技术服务公司,为客户提供系统级异构集成封装的设计技术支持、研发验证、产品认证、封装测试、供应链管理、物流仓储服务等一站式服务。50.杭州杭州晶通科技有限公司
(子公司:晶通(高邮)集成电路有限公司)杭州晶通科技有限公司,成立于2018年,是国内领先的晶圆级扇出型封装供应商。其中高精度的扇出型北制程技术,是目前国内唯一一个可以满足并提供手机mobile SOC三维叠封的量产技术。51.杭州杭州士兰微电子股份有限公司杭州士兰微电子股份有限公司也是MOSFET龙头企业,士兰微产品集中在“VD-MOS管、肖特基二极管”芯片和“MOSFET、IGBT、高频三极管”封装器件,应用领域为LED照明、工业、家电、消费电子、汽车电子等。52.绍兴长电集成电路(绍兴)有限公司长电集成电路(绍兴)有限公司,是由国家集成电路产业基金、浙江省产业基金、绍兴市地方基金和长电科技集团联合出资建设的浙江省“十四五”规划重点建设项目,于2019年11月成立,股东层面还包括星科金朋。长电绍兴成立之初,就是以晶圆级先进封装产线为主。53.绍兴绍兴中芯集成电路制造股份有限公司中芯集成主要从事功率半导体和MEMS传感器等模拟电路领域的芯片及模组封装业务,以芯片代工为起点,向上延伸到设计服务,向下延伸至模组封装、应用验证、可靠性测试,为客户提供一站式集成代工制造服务。公司的工艺平台涵盖超高压、车载、先进工业控制和消费类功率器件及模组,以及车载、工业、消费类传感器,应用领域覆盖智能电网、新能源汽车、风力发电、光伏储能、消费电子、5G 通信、物联网、白色家电等行业。54.宁波荣芯半导体(宁波)有限公司荣芯半导体(宁波)有限公司成立于2021年,主营业务为12寸晶圆制造及晶圆级封装测试,下游产品为图像传感器(CIS)、显示驱动(TDDI/OLED DDIC)、功率器件(MOSFET/IGBT)、电源管理(PMIC)、快速闪存器(NOR FLASH)等高性能模拟芯片。55.宁波宁波芯健半导体有限公司公司现有晶圆级先进封装工艺(WLP),包括晶圆级芯片尺寸封装技术(WLCSP)、凸块技术(Bumping)和倒装芯片封装技术(Flip Chip),并正积极布局更为先进的Fan-out封装、2.5D/3D封装和系统级封装(SiP),实现更高密度的集成,突破摩尔定律限制。56.宁波甬矽电子(宁波)股份有限公司公司封装产品主要包括“高密度细间距凸点倒装产品(FC类产品)、系统级封装产品(SiP)、扁平无引脚封装产品(QFN/DFN)、微机电系统传感器(MEMS)”4大类别。57.宁波宁波泰睿思微电子有限公司泰睿思成立于2012年,长期专注于集成电路封装与测试业务,在宁波、青岛、上海分别设有生产运营中心,具备QFN、DFN、SOP、SOT等主流封装形式量产能力,下游客户遍及移动通讯、物联网、消费电子、人工智能、大数据、电动汽车等诸多领域。58.宁波宁波群芯微电子股份有限公司宁波群芯是国内第一家专注于光耦和光传感器研发的产品公司,其产品广泛应用于家电、工控、光伏储能和新能源汽车等领域。59.金华义乌义芯集成电路(义乌)有限公司义芯集成电路义乌公司致力于成为国内技术领先的射频前端模组系统级先进封装(“SiP”)及滤波器晶圆级封装(“WLP”)设计和制造平台。该公司专注于4G/5G射频通讯市场所需的特种工艺技术开发、生产制造高附加值模拟芯片产品,包括无线通讯终端的射频前端模组、高附加值基站核心射频芯片封装等。60.合肥合肥矽迈微电子科技有限公司根据查询信息,目前矽迈微电子的封装产线主要是传统封装为主,产能规划年产14.4亿只,3D封装规划年产6.4亿只。在板级扇出型封装方面,矽迈微投产了国内首条板级扇出型封装产线。61.合肥合肥新汇成微电子股份有限公司汇成股份是一家集成电路封装测试服务商,目前聚焦于显示驱动芯片领域。主营业务以前段金凸块制造为核心,并综合晶圆测试(CP)及后段玻璃覆晶封装(COG)和薄膜覆晶封装(COF)环节。产品主要应用于LCD、AMOLED等各类主流面板的显示驱动芯片,所封装测试的芯片广泛应用于智能手机、智能穿戴、高清电视、笔记本电脑、平板电脑等各类终端消费产品。62.合肥合肥芯投微电子有限公司2022年1月,芯投微与合肥市高新区政府签署投资协议,投资55亿元人民币,建立芯投微SAW滤波器研发设计及生产制造基地。公司掌握SAW滤波器、TC-SAW滤波器,以及晶圆级封装WLP等核心技术,致力于打造全球领先的射频前端公司。63.合肥芯投微电子科技(安徽)有限公司芯投微是一家专注于射频滤波器设计、研发、生产和销售的高新技术企业,致力于为客户提供高性能、低功耗和高可靠性的SAW声学滤波器产品。公司采用IDM模式,拥有超过20年的SAW(声表面波)滤波器设计研发、生产和市场经验,在产品流程、工艺和质量等方面积累了深厚的实力。64.合肥合肥通富微电子有限公司公司由通富微电子股份有限公司、合肥海恒投资控股集团公司、合肥市产业投资引导基金有限公司、合肥城建投资控股有限公司共同投资建设,专业从事集成电路的封装和测试。65.合肥合肥颀中科技股份有限公司颀中科技成立于2018年,是一家注册于合肥综合保税区内的从事集成电路高端先进封装测试的国家级高新技术企业,公司可为客户提供全方位的集成电路封测综合服务,覆盖显示驱动芯片、电源管理芯片、射频前端芯片等多类产品。66.合肥合肥沛顿存储科技有限公司合肥沛顿主要从事动态随机存储器DRAM、NAND FLASH的颗粒封装测试,晶圆中测Chip Probing和内存模组制造业务,承接芯片、颗粒封装测试和相应模组业务。67.池州池州华宇电子科技股份有限公司池州华宇电子科技股份有限公司成立于2014年10月。是一家专注于集成电路封装和测试业务,包括集成电路封装、晶圆测试服务、芯片成品测试服务的高端电子信息制造业企业。在封装领域具有多芯片组件(MCM)封装、三维(3D)叠芯封装、微型化扁平无引脚(QFN/DFN)封装、高密度微间距集成电路封装等核心技术。68.广西南宁广西华芯振邦半导体有限公司团队在半导体先进封装领域,如晶圆凸块制造、扇出、芯片倒装、系统级封装等高顶端领域深耕多年,拥有多项自主知识产权。69.南宁广西桂芯半导体科技有限公司桂芯科技面向全球提供封装设计、产品开发及认证,从中测、封装到成测的全套专业生产服务。具有广泛的技术积累和产品解决方案,包括有150微米以下6寸、8寸、12寸存储芯片的减薄、划片等工艺,以及引线框封装、Fan-out eWLB、WLCSP、Bump、PoP、fcBGA、SiP封装等领先技术。70.湖南株洲湖南越摩先进半导体有限公司公司已经成功开展CPU/GPU、MCU、人工智能AI芯片、可穿戴、智慧医疗、物联网等领域的封装方案设计与批量生产,持续为客户提供最优质的封装方案。国创越摩项目建成后,将依托关键的系统级封装技术,提供核心器件与微系统的先进封装研发与批量制造服务,成为全球硬件的创新中心,保障核心芯片的自主封装。71.长沙长沙安牧泉智能科技有限公司安牧泉成立于2017年,专注于集成电路先进封装与产品、封装工艺软件开发,依托国际先进的系统级封装技术(SiP)解决关键核心器件如CPU、DSP、GPU、SSD、IGBT等的自主制造问题,填补了湖南省和长沙市高端芯片封装的空白,被评为工信部第五批专精特新“小巨人”企业。72.广东深圳深圳长城开发科技股份有限公司深科技是国内唯一一家在欧洲大批量部署智能电表,并参与欧洲多个大型AMI项目的公司,自2002年起,已为全球33个国家、80多家能源公司提供逾6900万只智能计量产品。同时,也是中国先进的通讯电子产品制造企业之一,为全球多家一线品牌提供制造服务,是行业领军品牌商的核心合作伙伴。73.深圳深圳市天微电子股份有限公司子公司:厦门天微电子有限公司与广西天微电子有限公司以SOP/QFP/SOT为主,未来两年重点投入CSP/IGBT模块先进封装。74.深圳深圳佰维存储科技股份有限公司
(投资建晶圆级封装厂:惠州佰维存储)公司紧紧围绕半导体存储器产业链,构筑了研发封测一体化的经营模式,在存储介质特性研究、固件算法开发、存储芯片封测、测试方案研发、全球品牌运营等方面具有核心竞争力,并积极布局芯片IC设计、先进封测、芯片测试设备研发等技术领域。75.深圳深圳佰维存储科技股份有限公司
(投资建晶圆级封装厂:惠州佰维存储)公司专注于存储芯片研发与封测制造,是国家高新技术企业,国家级专精特新小巨人企业,并获得国家大基金战略投资。公司紧紧围绕半导体存储器产业链,构筑了研发封测一体化的经营模式。76.深圳中为先进封装技术(深圳)有限公司 中为先进封装技术(鹤山)有限公司中为先进封装(深圳)科技有限公司现在深圳市宝安区,可根据客户需求研发、设计和生产各种不同规格的光电类封装基板和IC、MEMS等芯片,封装基板产品得到多家行业龙头企业认可。77.深圳深圳市赛意法微电子有限公司深圳赛意法微电子有限公司(STS)成立于1994年,专业从事集成电路芯片的封装、测试等后工序加工及后工序技术研发等业务,拥有世界上高端先进的实验室,是目前意法半导体集团内质量最好、 产量最大、生产效率最高的封装测试工厂。78.深圳天芯互联科技有限公司天芯互联科技有限公司为深南电子旗下全资子公司,根据公开信息,天芯互联依托系统级封装(SiP)和板级扇出封装(FOPLP)平台,为客户提供高集成小型化的半导体器件模组封装解决方案和半导体测试接口解决方案,产品广泛应用于高端医疗、工控、通信、半导体测试等领域。79.广州广东越海集成技术有限公司越海集成于去年4月在增城开始运营,将建设晶圆级封装生产线、3D传感器模块生产线。其中一期主要制作12寸TSV封装(产能每月1.3万片)、8寸及兼容4/6寸TSV封装(产能每月2万片)。项目建成达产后,预计年产值不低于4亿元。80.东莞乐依文半导体(东莞)有限公司集团成立于1989年,于2003年在大陆建厂,UDG已具有世界一流的半导体生产线和服务团队,并已在德国、新加坡、香港、日本等地设有销售分公司,产品远销美洲、亚洲、欧洲等多个国家。81.佛山广东佛智芯微电子技术研究有限公司根据查询信息,目前矽迈微电子的封装产线主要是传统封装为主,产能规划年产14.4亿只,3D封装规划年产6.4亿只。在板级扇出型封装方面,矽迈微投产了国内首条板级扇出型封装产线。82.贵州贵阳贵州振华风光半导体股份有限公司公司专注于高可靠集成电路设计、封装、测试及销售,主要产品包括信号链及电源管理器等系列产品,建有完整的模拟集成电路芯片设计平台和系统封装设计平台,具备陶瓷、金属、塑料等多种形式的封装能力,以及电性能测试、机械试验、环境试验、失效分析等完整的检测试验能力。83.陕西西安西安微电子技术研究所
(中国航天科技集回有限公司第九研究院第七七一研究所)航天七七一所先进封装事业部,是国内领先的自主产权12英寸TSV立体集成晶圆级先进封装生产线;是国内高可靠微系统3D TSV、2.5Dinterposer、SIP产品研制中心;是国内高水平的12英寸3DWLCSP、WLCSP、Bumping封装量产服务商,是国内领先的立体集成技术解决方案提供商。生产线定位12英寸(兼容8英寸),产品涵盖存储器芯片,影像传感器芯片、生物身份识别芯片、光感类芯片等。产品广泛应用于航空航天器、智能电子终端、可穿戴设备、汽车电子、安防监控等众多领域。84.西安华天科技(西安)公司在国内率先实现了AI、5G(手机基带、射频功放、5G基站芯片)、3DNAND 、7nm晶圆封装工艺等封装产品的规模量产能力。公司产品主要应用于计算机、网络通讯、消费电子、智能移动终端、汽车电子等电子整机和智能化领域。85.成都宇芯(成都)集成电路封装测试有限公司宇芯(成都)集成电路封装测试有限公司Unisem (M) Berhad(集团成立于1989年,总部位于马来西亚首都吉隆坡,自1992年开始从事的IC封装和测试,目前可为客户提供Wafer Bumping、晶圆测试、IC封装与测试及相关辅助服务,拥有世界的半导体封装测试技术;现为马来西亚的芯片制造商,在马来西亚怡保、英国威尔士、中国成都、印尼巴淡、美国加州等地有生产制造工厂。86.成都德州仪器半导体制造(成都)位于四川成都的制造基地始建于2010,是德州仪器在中国最大的投资,也是德州仪器全球唯一的一个端到端的一体化制造基地,集晶圆制造、封装、测试、凸点加工和晶圆测试为一体,够更快地响应和满足客户的需求。87.成都达迩科技(成都)有限公司Diodes 公司是美国纳斯达克上市企业,公司生产的产品主要包括二极管、整流器、晶体管、MOSFET、保护设备、针对特定功能的阵列以及包括 DC-DC 切换和线性稳压器、放大器和比较器、霍尔效应传感器在内的电源管理设备。88.成都成都万应微电子有限公司成都万应微电子有限公司解决半导体集成电路高端封装测试技术主要被国外厂垄断“卡脖子”问题,为微电子集成电路企业提供高质量的先进封装服务,积极推动半导体集成电路领域技术进步。 提供封装方案及设计、仿真、打样/量产、供应商协调、可靠性实验/失效分析等一站式封装服务89.成都成都奕成集成电路有限公司成都奕斯伟系统集成电路有限公司隶属于北京奕斯伟科技集团有限公司(简称“ESWIN”)。集团董事长王东升先生是中国电子信息产业创业创新领军人,曾创立并带领京东方(BOE)成长为全球显示领域领先企业。90.成都成都奕斯伟系统集成电路有限公司成都奕斯伟系统集成电路有限公司,根据官网信息,公司成立于2017年,隶属于北京奕斯伟科技集团有限公司,核心事业涵盖芯片与方案、硅材料、先进封测三大领域。成都奕斯伟系统集成电路有限公司是北京奕斯伟布局在成都的先进FOPLP(面板级扇出型)封测基地,为客户提供高性价比的系统集成封装与测试服务。91.绵阳四川启赛微电子有限公司公开资料显示,启赛成立于2022年5月20日,是长虹控股集团布局系统级微组装业务、实施半导体封装测试业务的唯一实施主体,是专注提供高端芯片封测方案及系统级微组装解决方案的服务商。92.遂宁四川矽芯微科技有限公司公司主要面向航空、航天、高铁、医疗、汽车、电力、电动车等应用领域客户对芯片高可靠性封装的需求,为下游半导体公司提供晶圆级封装化学镀UBM的打样和量产代工,产品主要包括功率MOSFET、IGBT、分立器件、射频ID、存储器、LED等产品做镍金/镍钯金晶圆级封装金属化(UBM),具备铝、铜等基材化镀量产能力。同时具备给flip chip, CSP等产品做工程样片验收的能力。93.重庆矽磐微电子(重庆)有限公司矽磐微电子(重庆)有限公司,根据公开信息,公司成立于2018年, 致力于扇出型面板级封装、测试技术的研发和代工业务。公司拥有先进封装领域的市场、设计、设备、工艺和材料等各个环节的世界级团队,可为客户提供全方位Fan-out封装技术解决方案——ONEIRO封装。94.重庆长芯半导体有限公司长芯半导体有限公司正式成立于2017年,是由一群来自腾讯科技,华为技术,兴森科技,意法半导体等互联网及半导体行业精英组成的创新型半导体服务企业。95.北京北京赛微电子股份有限公司公司目前的主要产品及业务包括MEMS芯片的工艺开发及晶圆制造、GaN外延材料生长与器件设计,下游应用领域包括通信、生物医疗、工业科学、消费电子等。公司业务遍及全球,服务客户包括全球DNA/RNA测序仪巨头、新型超声设备巨头、网络通信和应用巨头以及工业和消费细分行业的领先企业等。96.北京威讯联合半导体(北京)有限公司Qorvo(威讯联合半导体有限公司)成立于2015年,由RF Micro Devices, Inc.与TriQuint Semiconductor, Inc.合并而成,是一家设计、开发及生产“射频”集成电路产品的美国独资企业。公司股票于1997年在NASDAQ上市,2004年度的十大封装测试企业排名第二。97.湖北武汉武汉高德红外股份有限公司武汉高德红外股份有限公司创立于1999年,是专业从事红外探测器芯片、红外热成像产品、综合光电系统及完整武器系统科研生产的民营上市公司。高德红外工业园位于“中国光谷”,占地200余亩,员工4000余名,已建成全球唯一覆盖从底层红外核心器件到十几个分系统直至顶层完整武器系统全产业链的军民两用产品研制基地。98.福建厦门厦门云天半导体科技有限公司厦门云天半导体成立于2018年7月,总部位于厦门海沧区,致力于5G射频器件封装与系统集成,主营业务主要包含滤波器晶圆级三维封装,高频毫米波芯片集成,IPD无源器件制造与封测,射频模块集成。公司的产品技术主要包括晶圆级无源器件集成、玻璃通孔三维集成、晶圆级芯片尺寸封装、玻璃通孔、新型晶圆级集成扇出封装等。99.厦门厦门市三安集成电路有限公司公司专注于以砷化镓和氮化镓为基底的微波器件领域的研发、生产和销售,事业部延展至电力电子、滤波器、微波射频等领域,产品将广泛应用于航空航天、通信、遥测、导航及各种功率电子应用等方面。100.厦门晶旺半导体(厦门)有限公司晶旺半导体(厦门)有限公司(原名厦门市明晟鑫邦科技有限公司)成立于2015年2月,坐落于国家生态园林城市鹭岛厦门,产业基地位于火炬高新区(翔安)产业区,专业从事WLCSP(Wafer Level Chip Scale Packaging)集成电路封装业务。101.厦门厦门通富微电子有限公司公司第一期项目主要从事显示屏面板“驱动IC”金凸块的封装、测试服务,是平面显示器产业链的重要组成部分,综合当今全球先进的芯片封装技术,项目工艺包含:金凸块的制作、集成电路的测试、驱动IC的切割、驱动IC的封装等四大部分,是产业自动化程度最高、工艺技术居前的国内第一家纯内资能提供12寸晶圆金凸块制造、测试、切割、封装的完整四段工艺厂商。102.厦门厦门四合微电子有限公司厦门四合微电子有限公司全球首家实现大板级封装量产的企业,率先建立具有国际先进水平的自主创新芯片埋入板级扇出集成封装生产线,致力于建设Panel级(板级)功率芯片Fan out(扇出)封装工艺制造平台,项目的投建填补了国内板级扇出工艺量产的空白。103.厦门厦门芯光润泽科技有限公司厦门芯光润泽科技有限公司,专业从事第三代半导体SiC功率模块研发及产业化发展。作为高新技术企业,公司已拥有国际顶尖的技术团队,完整的产品应用及FAE团队,规划投资20亿,建设3.5万平方米的厂区,进行第三代半导体SiC功率模块的设计,研发及制造。企业依托自有已申请的多项包含电路设计,制造,封装等功率组件相关专利已筹建首批专业性碳化硅国家级实验室。104.渠梁电子有限公司渠梁电子有限公司是福建省集成电路产业园的封测龙头企业,位于福建省晋江市。公司主要从事高端芯片封装测试业务及相关售后服务,除提供主流的封装技术服务外,更具备先进封装技术包括2.5D IC封装技术、扇出型晶圆封装、晶圆级凸块,以及集聚各种市场优势的覆晶封装解决方案。在此如果你想要免费领取基础资料(ic各方向均可)或能力提升或项目资料等;又或者是你已经学习完毕,不知道如何描述项目、不懂得面试技巧、不会选择offer,又或者是你想了解更多关于ic/微电子/半导体的内容,点击下方:IC转行交流社区+入行指导+免费领资料+项目领取
来源:内容由 微信公众号 半导体行业观察 (ID:icbank) 张健 原创,谢谢。半导体设备可分为晶圆处理设备、封装设备、测试设备和其他设备,其他设备包括硅片制造设备、洁静设备、光罩等。这些设备分别对应集成电路制造、封装、测试和硅片制造等工序,分别用在集成电路生产工艺的不同工序里。在整个半导体设备市场中,晶圆制造设备大约占整体的80%,封装及组装设备大约占 7%,测试设备大约占 9%,其他设备大约占 4%。而在晶圆制造设备中,光刻机,刻蚀机,薄膜沉积设备为核心设备,分别占晶圆制造环节设备成本的30%,25%,25%。半导体设备处于该产业链的上游,虽然市场总量与下游的IC设计、制造、封测比相对较小,但其技术高度密集、尖端这一特点,决定半导体设备在整个行业中起着举足轻重的作用,为下游的制造、封测源源不断地提供着“粮食”。SEMI的数据显示,2017年全球半导体设备市场规模达566.2亿美元,较2016 年大幅增长 37.3%,创历史新高,增速为近7年来的最高水平。在由SEMI统计的2017年全球前12的半导体设备厂商榜单中,绝大部分营收增长都非常强劲。其中,排名前10的厂商,年营收增长率全是正数,没有出现负增长的情况,而且,除了排名第6的迪恩士(年增长1%)和排第8的日立高新(年增长5%)外,其它8家的增幅都处于高位,其中,排名第7的细美事(SEMES)的增幅达到了惊人的142%。下面,我们就盘点一下SEMI统计的这些半导体设备厂商情况。应用材料作为一家老牌的美国半导体设备商,应用材料(AMAT)是全球最大的半导体设备公司,产品横跨CVD、 PVD、刻蚀、CMP、RTP等除光刻机外的几乎所有半导体设备。应用材料2017财年营收为145.3亿美元,其中,半导体设备收入95.2亿美元。在全球晶圆处理设备供应商中排名第一,应用材料市占率19%左右,其中,在PVD领域,应用材料占据了近85%的市场份额,CVD占30%。半导体设备行业技术壁垒非常高,随着制程越来越先进,对半导体设备的性能和稳定性提出了越来越高的要求,需要投入大量的研发资金。应用材料公司一直保持着在研发上的高投入,每年的研发支出超过15亿美元,其30%的员工为专业研发人员,拥有近12000 项专利,平均每天申请4个以上的新专利。正是这种持续的高研发投入,促成了应用材料的内部创新,构成了较高的技术壁垒,使其自1992年以来一直保持着世界最大半导体设备公司的地位。Lam ResearchLam Research主要生产单晶圆薄膜沉积系统、等离子刻蚀系统和清洁系统设备。该公司通过并购方式不断提升竞争优势,2012年6月,Lam公司完成与Novellus Systems(诺发系统)合并;2015年10月,该公司宣布斥资106亿美元,以现金加股票的方式收购同业竞争公司科磊半导体(KLA-Tencor),但最终未获成功。在全球晶圆处理设备供应商中,Lam Research排名第二,市占率13%左右,其中,刻蚀设备方面,Lam Research市占率最高,达到53%。Lam能排在全球第二的位置,与其高研发投入直接相关,据悉,该公司每年的研发支出超过10亿美元。Lam公司为全球著名的半导体制造商提供服务,镁光科技、三星电子、SK 海力士等都是其主要客户,2016财年的订单均占该公司销售收入的10%以上。2014和2015财年,Lam在韩国半导体设备销售额最高,占整体销售比例为24%和27%,在中国台湾地区销售额高达14.85 亿美元,同比增长34.5%,占比为25%。由于中国大陆半导体产业的快速发展,2016财年,中国大陆成为Lam半导体设备销售的第二大市场。东京电子东京电子是日本一流领先的半导体设备提供商,主要从事半导体设备和平板显示器设备制造。 英文简写为 TEL,全称为 Tokyo Electron Limited。 1963 年在日本东京成立,公司名为东京电子研究所。 1968 年东京电子与 Thermco Products Corp 合作开始生产半导体设备。 1978 年公司正式改名为东京电子有限公司。1983年,东京电子与美国公司拉姆研究合作,引进当时一流的美国技术,在日本本土开始生产刻蚀机。公司在 2018 财年营业收入增长 37.96%,净利润增长 73.09%。公司十分注重研发投入, 2018 财年的计划研发费用约 1200 亿日元(约合 80 亿人民币),设备投资 510 亿日元(约合 30 亿人民币),东京电子业务分为两大板块:工业机械制造和电子计算机组件,其中工业机械设备制造又细分为半导体制造和平板显示器以及光伏设备制造。根据公司年报,从 2015财年开始,半导体制造已经成为公司发展核心业务,占公司总营收90%以上。2015年,为了集中发展半导体和平板显示器业务,该公司减持电子计算机组件业务子公司股权至低于50%。平板显示器及光伏设备制造也呈现递减趋势。ASMLASML总部在荷兰,生产前后道设备,包括光刻机、集束型设备、外延反应器、垂直扩散炉、PECVD 反应器、原子层沉积设备、等离子体增强原子层沉积(PEALD)设备等。目前,ASML占据了光刻机市场80%份额,垄断了高端光刻机市场。全球只有ASML能够生产EUV(极紫外光刻机)。Intel、台积电、三星用来加工14/16nm芯片的光刻机都来自ASML,格芯、联电以及中芯国际等晶圆厂的光刻机主要也是来自ASML。例如,ASML新的EUV光刻机NXE 3400B能支持 7nm和5 nm芯片的批量生产,使用13.5nm EUV光源,光学系统的数值孔径(NA)为0.33,分辨率为13nm,而尼康最新的ArF Immersion NSR-S631E浸入式光刻机落后EUV极紫外光刻机整整一代,使用139nm波长的ArF准分子激光,NA为1.35,分辨率小于等于38nm。从售价来看,ASML的EUV NXE 3400B和3350B单价超过1亿美元,ArF Immersion售价大约在7000万美元左右,而尼康光刻机的单价只有ASML光刻机价格的三分之一。KLA-TencorKLA-Tencor(科磊)于1997年由KLA仪器公司和Tencor仪器公司合并创立, 总部位于美国,该公司主要为半导体、数据存储、LED及其他相关纳米电子产业提供前道工艺控制和良率管理的解决方案。科磊自成立起便深耕于半导体前道检测设备行业, 目前其产品种类已经覆盖加工工艺环节的各类前道光学、电子束量检测设备。 凭借其检测产品高效、精确的性能特点,科磊以52%的市场份额在前端检测设备行业内具有绝对的龙头地位。在全球晶圆处理设备供应商中,KLA-Tencor排名第5,市占率6%左右,其中,在半导体光学检测领域,KLA-Tencor全球市占居冠。科磊一直将研发投入占比维持在 15%以上的水平,通过高额的研发费用支出维持创新能力。 2017年,该公司研发支出为 5.27 亿美元,同比增长9.56%,研发支出占收入比为15.14%迪恩士迪恩士(SCREEN)总部位于日本。成立于1868年,于1975年开发出晶圆刻蚀机,正式开启半导体设备制造之路。在随后的40多年里,迪恩士专注于半导体制造设备,尤其是清洗设备的研发与推广,开发出了适应于多种环境的各类清洗设备,并在半导体清洗的三个主要领域均获得第一的市场占有率。迪恩士有4个主要的业务方向,半导体制造设备、图像情报处理机器、 液晶制造设备、印刷电路板设备。半导体制造设备包括清洁、涂布和退火设备,半导体制造设备是该公司收入的主要部分,2017年占总收入的66.7%。从2016年财年来看,半导体制造设备中,清洗设备收入占该业务收入的90%。迪恩士不仅在半导体清洗设备,也在图像情报处理机器和液晶制造设备行业拥有龙头地位。 在图像情报处理机器领域,该公司的脱机直接印版(CTP技术)设备市场占有率为31%,为全球第一位。而在液晶制造设备领域, 液晶涂布机的市场占有率为71%,也为全球第一。SEMESSEMES成立于1993年,是半导体和FPD两个事业为主的综合设备厂商,于2004年建立TFT LCD设备生产为目的的第三工厂。Semes是韩国最大的预处理半导体设备与显示器制造设备生产商,可称其为韩国半导体设备厂第一大厂,主要生产清洗、光刻和封装设备。日立高新日立高新(Hitachi-High Technologies)成立于2001年,由Hitachi Ltd. Instruments Group和Semiconductor Manufacturing Equipments Group与Nissei Sangyo Co.,Ltd。(一家专注于电子产品的公司)合并而成。日立高新生产的设备包括:半导体制造设备,如芯片贴片机和蚀刻和检测系统; 分析和临床仪器,如电子显微镜和DNA测序仪; 平板显示器(FPD),液晶显示器(LCD)和硬盘的制造设备; 计量和检查设备。该公司还销售钢铁,塑料,硅芯片,精细化学品,光学元件以及汽车相关设备和材料。日立高科技在日本的销售额占42%。日立拥有该公司近52%的股份。在半导体设备方面,日立高新主要生产沉积、刻蚀、检测设备,以及封装贴片设备等。日立国际电气日立国际电气(hitachi kokusai)是日立制作所(Hitachi)集团内,专责广播设备与映像设备制造营销的子公司,总公司位于日本东京,2014会计年度(2014/4~2015/3)营收1,457亿日圆(约11.84亿美元),连结营收达1,836亿日圆,职员人数在2015年3月底总计4,943名。现在的日立国际电气,是2000年10月由3家公司合并而成:国际电气,从事无线通信设备与半导体制作,1949年设立;日立电子:从事无线通信设备与映像设备制作,1948年设立;八木天线(Yagi Antenna),由发明八木天线的八木秀次博士于1952年成立,拥有天线专利。公司合并后以原本的国际电气为主,改名日立国际电气,其他各厂与海内外分公司,则逐一改组为日立国际电气相关分公司。该公司生产的半导体设备主要是热处理设备。DaifukuDaifuku(大福)(集团)公司在日本大阪、东京设立总部、核心生产基地设在滋贺县,还在世界23个国家和地区设立了生产和销售网点。大福的洁净室存储、搬运系统被广泛应用于半导体、液晶等平板显示器制造行业,在许多世界著名企业均有销售业绩。大福运用高端技术实现了洁净室内的无尘搬运、降低了搬运过程中产生的振动,因此获得了广大客户的高度信赖。近年来,该公司利用氮气净化、空气悬浮传送等独有的搬运技术,满足半导体的细微化及液晶显示器的精细化加工要求。ASM InternationalASMI(ASM International)总部位于荷兰阿尔默勒,在阿姆斯特丹泛欧证券交易所上市。其子公司和参股公司设计和生产用于制造半导体器件的设备和材料。ASMI子公司和参股公司为晶圆加工(前端部分)提供生产解决方案,通过美国、欧洲、日本和亚洲的设施提供组装和封装和表面黏着技术(后端部分)。ASMI主要生产光刻,沉积,离子注入和单晶圆外延设备,擅长是原子层沉积(ALD)和等离子体增强原子层沉积(PEALD)产品。ASM是ASM International NV集团的一部分,该集团还包括ASM Pacific Technology(ASMPT)。ASMP拥有大约2%的大部分所有权,是晶圆组装和封装以及表面贴装技术的半导体工艺设备的领先供应商。ASMP于1975年在香港成立,1989年在香港上市,目前总部在新加坡,此前53.1%的股份由ASM International N. V. 所持有。ASMP生产芯片组装和包装机械,称为后端设备,是全球首个为半导体封装及电子产品生产的所有工艺步骤提供技术和解决方案的设备制造商,包括从半导体封装材料和后段(芯片集成、焊接、封装)到SMT 工艺。全球并无其他设备供应商拥有类似的全面产品组合及对装嵌及SMT程序的广泛知识及经验。 尼康尼康成立于1917年,最早通过相机和光学技术发家,1980年开始半导体光刻设备研究,1986年推出第一款FPD光刻设备,如今业务线覆盖范围广泛。尼康既是半导体和面板光刻设备制造商,同时还生产护目镜,眼科检查设备,双筒望远镜,显微镜,勘测器材等健康医疗和工业度量设备。在FPD光刻方面,尼康则可发挥其比较优势,尼康的机器范围广泛,从采用独特的多镜头投影光学系统处理大型面板到制造智能设备中的中小型面板,提供多样化的机器。尼康虽然在芯片光刻技术上远不及ASML,目前的产品还停留在ArF和KrF光源,且售价也远低于ASML,和EUV更加难以相提并论。但目前,其盈利性也很大程度上依赖光刻设备,尤其是芯片光刻设备,2017年光刻设备营收占比高达33%。尼康的研发投入也持续增长,但其中对于光刻设备的投入比重却在下降。从2008年260亿日元一路下降至2017年160亿日元。结语从以上12家半导体设备供应商可以看出,全球半导体设备市场主要被美国、欧洲(以荷兰为最)和日本的厂商所掌控,市场占有率极高。而中国相关企业的技术能力和市占率则相当有限。在全球半导体设备市场供销两旺的情势下,我国半导体设备有巨大的潜力可挖。
北方华创业务涉及半导体设备、电子元器件、光伏和锂电,是国内业务布局最全的半导体设备公司。上海微电子装备公司从事光刻机的研发与制造,目前量产型产品主要用于先进封装、LED 制造、面板制造领域,在国内先进封装和 LED 制造领域占据垄断地位,同时打入了中国台湾市场。因光刻机研发难度较大,系统集成工作量很庞大,需要攻克较多技术点。中微半导体主要从事刻蚀机、TSV 和 MOCVD 产品研发与制造,MOCVD 产品目前已占国内蓝光 LED 制造领域一半以上份额,刻蚀机产品除进入大陆主要晶圆厂外,也进入了台积电等国际先进晶圆厂。刻蚀机难度仅次于光刻机。中微在海外申请了 624 项专利,为国内设备企业里最高,足见中微在刻蚀机领域已有相当实力,并且提前展开了国际化布局,为进去海外市场做足了准备。长川科技和北京华峰测控均从事半导体测试设备研发与制造。其中长川的业务包括分选机和测试机,北京华峰只做测试机。半导体测试设备相对晶圆制造设备难度稍低,且两家公司目前均还没有进入高端数字测试机领域,公司体量也稍小,因此长川和华峰的专利数量比晶圆设备公司低一些,但在国产半导体测试设备领域,长川和华峰已是双龙头,专利数量初具规模。总的来看,目前国内半导体设备行业各细分领域的龙头公司的专利数量已初具规模。但也要清醒地看到,国产设备公司专利数量和国外龙头设备公司比仍差距较大,如应用材料公司拥有超过 11900 个专利。 国产半导体设备技术——国产替代正当时设备简介:技术高、进步快、种类多、价值大。半导体行业技术高、进步快,一代产品需要一代工艺,而一代工艺需要一代设备。IC 制造设备主要分为光刻机、刻蚀机、薄膜设备、扩散\离子注入设备、湿法设备、过程检测等六大类,其中光刻机约占总体设备销售额的 18%,刻蚀机约占 20%,薄膜设备约占 20%。市场规模:2020 全球预计超 700 亿美元,中国大陆约占 20%。SEMI预计 2020 年半导体设备市场将增长 20.7%,达到 719 亿美元,创历史新高。2017 年中国大陆市场需求规模约占全球的 15%左右,2020 年预计占比将达到 20%,约 170 亿美元。竞争格局:从总体到局部,市场集中度高。半导体设备市场集中度高,主要有美日荷厂商垄断。总体上看,半导体设备市场 CR10 超 60%,前五名分别为应用材料、拉姆研究、东京电子、阿斯麦和科磊半导体;局部上看,每一大类设备市场均呈现寡头竞争格局,前两名厂商占据一半以上的市场份额。国产化情况:国产自给率低,技术加速追赶。根据中国电子专用设备工业协会数据,预计 2018 年国产泛半导体设备销售额约 109 亿元,但真正的 IC 设备国内市场自给率仅有 5%左右,国产替代空间巨大。在 02 专项的统筹规划下,国内半导体厂商分工合作研发不同设备,涵盖了主要设备种类。国内厂商仍处于技术追赶期,但随着摩尔定律趋近极限,技术进步放缓,国内厂商与全球龙头技术差距正在逐渐缩短,我们认为未来 3-5年将是半导体设备国产替代黄金战略机遇期。第一部分、概览:全球垄断,02专项顶层设计求突破1、设备简介:技术高、进步快、种类多、价值大半导体行业技术高、进步快,一代产品需要一代工艺,而一代工艺需要一代设备。半导体产业技术进步主要有两大方向:一是制程越小→晶体管越小→相同面积上的元件数越多→性能越高→产品越好;二是硅片直径越大→硅片面积越大→单个晶圆上芯片数量越多→效率越高→成本越低。半导体工艺流程主要包括单晶硅片制造、IC 设计、IC 制造和 IC 封测。单晶硅片制造需要单晶炉等设备,IC 制造需要光刻机、刻蚀机、薄膜设备、扩散\离子注入设备、湿法设备、过程检测等六大类设备。半导体设备中,晶圆代工厂设备采购额约占 80%,检测设备约占 8%,封装设备约占 7%,硅片厂设备等其他约占 5%。2、竞争格局:从总体到局部,市场集中度高半导体设备市场集中度高,CR10 超 60%。全球半导体设备生产企业主要集中于欧美、日本、韩国和我国台湾地区等,以美国应用材料、荷兰阿斯麦、美国泛林集团、日本东京电子、美国科天等为代表的国际知名企业起步较早,经过多年发展,凭借资金、技术、客户资源、品牌等方面的优势,占据了全球集成电路装备市场的主要份额。3、国产化情况:国产设备自给率低,技术加速追赶国产设备自给率低,进口替代空间大。供给端看,根据中国电子专用设备工业协会对国内 42 家主要半导体设备制造商的统计,2017 年国产半导体设备销售额为 89 亿元,自给率约为 14.3%。中国电子专用设备工业协会统计的数据包括 LED、显示、光伏等设备,我们认为实际上国内集成电路 IC 设备国内市场自给率仅有 5%左右,在全球市场仅占 1-2%。02 专项顶层设计,技术加速追赶。2006 年,《国家中长期科学和技术发展规划纲要(2006-2020 年)》设立国家科技重大专项——极大规模集成电路制造装备及成套工艺科技项目(简称 02 专项)研发国产化设备,并于 2008 年开始实施。在 02 专项的统筹规划下,国内半导体厂商分工合作研发不同设备,涵盖了主要设备种类。国内 IC 制造设备工艺覆盖率仍比较低,国产厂商技术加速追赶。国产全部IC 设备在逻辑 IC 产线上 65/55nm 工艺覆盖率才 31%,40nm 工艺覆盖率仅17%,28nm 工艺覆盖率仅 16%;在存储芯片产线上的工艺覆盖率大概约为15-25%。随着摩尔定律放缓,国产厂商技术加速追赶。以北方华创刻蚀机为例,2007 年研发出 8 寸 100nm 设备,比国际大厂晚 8 年;2011 年研发出 12 寸 65nm 设备,比国际大厂晚 6 年;2013 年研发出 12 寸 28nm 设备,比国际大厂晚 3~4 年;2016 年研发 12 寸 14nm 设备,比国际大厂晚 2~3年。第二部分、设备:呈现寡头竞争格局1、硅片制造设备1.1硅片制造难度大,设备种类多硅片是半导体、光伏电池生产的主要原材料,90%以上的集成电路都是制作在高纯、优质的硅片上的。硅片直径的增大可降低单个芯片的制造成本,目前 300mm 硅片已成为业内主流。硅片制造过程中涉及到单晶炉、滚磨机、切片机、倒角机、研磨设备、CMP抛光设备、清洗设备、检测设备等多种生产设备。其中单晶炉、抛光机、测试设备是主要设备,分别约占硅片厂设备投资的 25%、25%、20%。日本在硅片制备设备产业中占有相对优势,其产品覆盖了硅片制造的全套设备。2、晶圆制造设备——光刻机光刻机竞争格局:步进扫描投影光刻机的主要生产厂商包括 ASML(荷兰)、尼康(日本)、佳能(日本)和 SMEE (中国)。ASML 于 2001 年推出了 TWINSCAN 系列步进扫描光刻机,采用双工件台系统架构,可以有效提高设备产出率,已成为应用最为广泛的高端光刻机。ASML 在光刻机领域一骑绝尘,一家独占全球 70%以上的市场份额。国内厂商上海微电子 (SMEE)研制的 90nm 高端步进扫描投影光刻机已完成整机集成测试,并在客户生产线上进行了工艺试验。3、晶圆制造设备——刻蚀机3.1刻蚀原理及分类刻蚀是使用化学或者物理方法有选择地从硅片表面去除不需要材料的过程。通常的晶圆加工流程中,刻蚀工艺位于光刻工艺之后,有图形的光刻胶层在刻蚀中不会受到腐蚀源的显著侵蚀,从而完成图形转移的工艺步骤。刻蚀分为湿法刻蚀和干法刻蚀两种。早期普遍采用的是湿法刻蚀,但由于其在线宽控制及刻蚀方向性等多方面的局限,3μm 之后的工艺大多采用干法刻蚀,湿法刻蚀仅用于某些特殊材料层的去除和残留物的清洗。干法刻蚀也称等离子刻蚀。干法刻蚀是指使用气态的化学刻蚀剂(Etchant)与圆片上的材料发生反应,以刻蚀掉需去除的部分材料并形成可挥发性的反应生成物,然后将其抽离反应腔的过程。刻蚀剂通常直接或间接地产生于刻蚀气体的等离子体,所以干法刻蚀也称等离子体刻蚀等离子体刻蚀机可以根据等离子体产生和控制技术的不同而大致分为两大类,即电容耦合等离子体(capacitively coupled plasma,CCP)刻蚀机和电感耦合等离子体(Inductively coupled plasma,ICP)刻蚀机。在集成电路生产线上,等离子体刻蚀设备通常按照被刻蚀材料的种类分为硅刻蚀设备、金属刻蚀设备和电介质刻蚀设备三大类。CCP 刻蚀机主要用于电介质材料的刻蚀工艺,如逻辑芯片工艺前段的栅侧墙和硬掩模刻蚀,中段的接触孔刻蚀,后段的镶嵌式和铝垫刻蚀等,以及在 3D闪存芯片工艺(以氮化硅/氧化硅结构为例)中的深槽、深孔和连线接触孔的刻蚀等。ICP 刻蚀机主要用于硅刻蚀和金属刻蚀,包括对硅浅沟槽(STI)、锗(Ge)、多晶硅栅结构、金属栅结构、应变硅(Strained-Si)、金属导线、金属焊垫(Pad)、镶嵌式刻蚀金属硬掩模和多重成像(Multiple Patteming)技术中的多道工序的刻蚀等。另外,随着三维集成电路(3D IC)、CMOS 图像传感器(CIS)和微机电系统(MEMS)的兴起,以及硅通孔(TSV)、大尺寸斜孔槽和不同形貌的深硅刻蚀应用的快速增加,多个厂商推出了专为这些应用而开发的刻蚀设备。随着工艺要求的专门化、精细化,刻蚀设备的多样化,以及新型材料的应用,上述分类方法已变得越来越模糊。除了集成电路制造领域,等离子体刻蚀还被广泛用于 LED、MEMS 及光通信等领域。3.2刻蚀机行业发展趋势及竞争格局随着芯片集成度的不断提高,生产工艺越来越复杂,刻蚀在整个生产流程中的比重也呈上升趋势。因此,刻蚀机支出在生产线设备总支出中的比重也在增加。而刻蚀机按刻蚀材料细分后的增长速度,则根据工艺技术的发展阶段不同呈现此消彼长的状况。例如,当 0.13μm 工艺的铜互连技术出现时,金属刻蚀设备的占比大幅下降,而介质刻蚀设备的占比大幅上升;30nm 之后的工艺中出现的多重图像技术及越来越多的软刻蚀应用,则使得硅刻蚀设备的占比快速增加。国际巨头泛林集团、东京电子、应用材料均实现了硅刻蚀、介质刻蚀、金属刻蚀的全覆盖,占据了全球干法刻蚀机市场的 80%以上份额。国内厂商中微半导体在介质刻蚀领域较强,其产品已在包括台积电、海力士、中芯国际等芯片生产商的 20 多条生产线上实现了量产;5nm 等离子体蚀刻机已成功通过台积电验证,将用于全球首条 5nm 工艺生产线;同时已切入 TSV 硅通孔刻蚀和金属硬掩膜刻蚀领域。北方华创在硅刻蚀和金属刻蚀领域较强,其55/65nm 硅刻蚀机已成为中芯国际 Baseline 机台,28nm 硅刻蚀机进入产业化阶段,14nm 硅刻蚀机正在产线验证中,金属硬掩膜刻蚀机攻破 28-14nm 制程。4、晶圆制造设备——薄膜生长设备4.1薄膜生长设备分类采用物理或化学方法是物质(原材料)附着于衬底材料表面的过程即为薄膜生长。薄膜生长广泛用于集成电路、先进封装、发光二极管、MEMS、功率器件、平板显示等领域。根据工作原理的不同,集成电路薄膜沉积可分为物理气相沉积(PVD)、化学气相沉积(CVD)和外延三大类。CVD 是通过混合化学气体并发生化学反应,从而在衬底表面沉积薄膜的一种工艺,用于沉积的材料包括金属材料(W, TIN, Co)、介电材料(Si02、Si,N4、掺磷二氧化硅、掺硼磷二氧化硅)和半导体材料(多晶硅、无晶硅)等。MOCVD 主要用于制备半导体光电子、微电子器件领域的各种砷化镓、氮化镓等三五族化合物,在 LED、激光器、高频电子器件和太阳能电池等领域具有规模化生产的能力。MOCVD也可作为气相外延的一种。4.2薄膜生成设备竞争格局PVD 领域,AMAT 一家独大,约占全球市场份额的 80%以上;CVD 领域,AMAT、LAM、TEL 三家约占全球市场份额的 70%以上。国内设备厂商中北方华创薄膜设备产品种类最多,目前其 28nm 硬掩膜 PVD 已实现销售,铜互连 PVD、14nm 硬掩膜 PVD、Al PVD、LPCVD、ALD 设备已进入产线验证。中微半导体的 MOCVD 在国内已实现国产替代。沈阳拓荆的 65nmPECVD 已实现销售。5、晶圆制造设备——扩散及离子注入设备在集成电路制造过程中,掺杂主要有扩散和离子注入两种工艺,扩散属于高温工艺,而离子注入工艺属于低温工艺。5.1扩散炉分类及竞争格局扩散炉广泛用于分立器件、电力电子、光电器件和光导纤维等行业的扩散、氧化、退火、合金等工艺中,因此按照功能不同,有时也称扩散炉为退火炉、氧化炉。扩散炉主要分为卧式扩散炉和立式扩散炉。扩散设备方面,卧室扩散炉较为简单,国内基本能实现自给自足,设备厂商主要有北方华创、中电科第 48 所等。立式扩散/氧化炉设备门槛较高,全球主要厂商有东京电子(TEL)、日立国际(HKE)等,单台平均售价约为 80 万美元,国内仍主要依赖进口,只有北方华创公司能够小批量提供 300mm 立式炉产品。5.2离子注入机分类及竞争格局离子注入机是集成电路装备中较为复杂的设备,根据注入离子的能量和剂量的不同,离子注入机大体分为低能大束流离子注入机、中束流离子注入机和高能离子注入机 3 种类型。其中,低能大束流离子注入机是目前占有率最高的注入机,适用于大剂量及浅结注入,如源漏极扩展区注入、源漏极注入、栅极掺杂以及预非晶化注入等多种工艺。中束流离子注入机可应用于半导体制造中的沟道、阱和源漏极等多种工艺。高能离子注入机在逻辑、存储、成像器件、功率器件等领域应用广泛。离子注入设备厂商主要有美国的 AMAT、Axcelis 等。国内生产线上使用的离子注入机多数依赖进口,国内北京中科信、中电科 48 所、上海凯世通等也能提供少量产品。其中,中科信公司已具备不同种类(低能大束流、中束流和高能)离子注入机上线机型的量产能力。6、晶圆制造设备——湿法设备湿法工艺是指在集成电路制造过程中需要使用化学药液的工艺,主要有湿法清洗、化学机械抛光和电镀三大类。6.1湿法清洗机湿法清洗是指针对不同的工艺需求,采用特定的化学药液和去离子水,对圆片表面进行无损伤清洗,以去除集成电路制造过程中的颗粒、自然氧化层、有机物、金属污染、牺牲层、抛光残留物等物质。清洗机主要分为槽式清洗机和单圆片清洗机。槽式圆片清洗机主要厂商有日本的迪恩士(SCREEN)、东京电子(Tokyo Electron)和 JET,三家约占全球 75%以上的市场份额。韩国的 SEMES 和KCTECH 主要供给韩国市场。单圆片清洗设机主要厂商有日本的迪恩士、东京电子和美国泛林集团提供,三家约占全球 70%以上的市场份额。在国内的单圆片湿法设备厂商中,盛美半导体独家开发的空间交变相位移(SAPS)兆声波清洗设备和时序气穴振荡控制(TEBO)兆声波清洗设备已经成功进入韩国及中国的集成电路生产线并用于大规模生产。北方华创的清洗机也成功进入中芯国际生产线。6.2化学机械抛光设备CMP 设备主要生产商有美国 AMAT 和日本 Ebara,其中 AMAT 约占 CMP设备市场 60%的份额,Ebara 约占 20%的份额。国内 CMP 设备的主要研发单位有天津华海清科和中电科 45 所,其中华海清科的抛光机已在中芯国际生产线上试用。6.3电镀设备电镀设备主要的生产商包括 Lam Research、AMAT 以及 TEL。其中,Lam Research 在前道的镶嵌式技术电镀铜设备中占据 90%以上的市场份额,日本的东京电子在先进封装领域约占据 50%市场。盛美半导体设备已经掌握了电镀机的核心专利技术,包括多圆环阳极技术和兆声波辅助电镀技术等, 自主开发了 Utra ECP 系列电镀机。7、晶圆制造设备——工艺检测设备工艺检测设备的供应商主要有科磊半导体、应用材料、日立高新等,国内厂商主要有上海睿励科学仪器和深圳中科飞测科技。8、封装测试设备根据 SEMI 数据,2017 年全球封装测试设备市场高速增长 27.89%,销售额达到 83.1 亿美元。2017 年中国大陆半导体封装测试设备与封装模具市场增长了18.6%,达到 206.1 亿元,约为 30.53 亿美元,其中封装设备市场 14 亿美元,测试设备与封装模具市场为 16.53 亿美元。2017 年国内半导体设备市场规模为 82.3 亿美元,封装测试设备占比超过 1/3,达到 37.1%。8.1封装设备封装和组装可分为四级,即芯片级封装(0 级封装)、元器件级封装(1 级封装)、板卡级组装(2 级封装)和整机组装(3 级封装)。在 0 级封装阶段,为了实现圆片的测试、减薄、划切工艺,与之对应的主要封装设备有圆片探针台、圆片减薄机、砂轮和激光切割机等。在 1 级封装阶段,为了实现芯片的互连与封装工艺,与之对应的主要封装设备有黏片机、引线键合机、芯片倒装机、塑封机、切筋成型机、引线电镀机和激光打标机等。在此阶段,为了实现圆片级芯片尺寸封装(WLCSP)工艺,相应的主要封装设备还有植球机、圆片凸点制造设备、圆片级封装的金属沉积设备及光刻设备等。在 2 级封装阶段,为了实现 PCB 组装工艺,与之对应的主要封装设备有焊膏涂覆设备、丝网印刷机、点胶机、贴片机、回流炉、波峰焊机、清洗机自动光学检测设备等。8.2测试设备近年来,测试设备商经过不断整合,形成了以日本爱德万测试(ADVANTEST)和美国泰瑞达(TERADYNE)两大公司,其产品约占全球半导体企业测试设备市场份额的 80%以上。国内测试设备厂商有长川科技、华峰测控、广立微等。9、启示:各类产品均呈现寡头竞争格局中微半导体:国内介质刻蚀机龙头,有望登陆科创板中微半导体成立于 2004 年 5 月 31 日,董事长尹志尧没回国之前,一直在美国硅谷从事半导体行业,在世界最大的半导体设备企业——美国应用材料担任公司副总裁,参与了美国几代等离子体刻蚀机的研发。公司产品主要包括介质刻蚀设备、硅通孔刻蚀设备和 MOCVD 设备,均已成功进入海内外重要客户供应体系。目前,MOCVD设备在国内市场占有率达 70%,成为全球 MOCVD 设备领域的两强之一。刻蚀机方面,公司在国际投资最多的 17 家芯片制造公司中,已进入 11 家,在最先进的代工厂公司中超过 250 个反应台,已加工 6000 多万片合格的晶圆。公司自主研制的 5nm 等离子体介质刻蚀机经台积电验证,性能优良,将用于全球首条 5nm 制程生产线。台积电宣布2019年将进行5nm制程试产、预计2020年量产。公司介质刻蚀机在主要亚洲晶圆代工市场中占有率达到 25%,在主要亚洲存储厂中市场占有率达到 15%。上文已提到 CCP 是电容耦合刻蚀机,ICP 是电感耦合刻蚀机,TCP 其实也是电感耦合刻蚀机,ICP 是立体式电感线圈,而 TCP 是平面式电感线圈。公司 TSV、MEMS 刻蚀机采用的是 TCP 原理,未来公司将继续延伸至 ICP 刻蚀机和薄膜设备领域。根据中微半导体预计,目前全球 CCP 刻蚀机市场规模约 20 亿美元,TSV/MEMS 刻蚀机市场规模超过 10 亿美元,MOCVD 设备市场规模超过 10 亿美元,ICP 刻蚀机市场规模约有 30 亿美元,合计市场空间超过 70 亿美元,公司未来成长空间大。科创板估值之半导体设备全球半导体设备巨头处于成熟期,估值水平较低从估值的角度来看,当前北方华创 PE(TTM)高达 147,对应 2019 年 PE 为 73。同样为半导体设备商的美国应用材(AMAT)、拉姆研究(LRCX)和科磊半导体(KLAC)PE估值仅 10X,阿斯麦 PE 相对较高,为 30X,那么同样作为半导体设备商的北方华创,估值是否合理?1、美股半导体设备商所处的生命周期与国内设备商不一致美国半导体行业起步早,技术发展较为成熟,市场集中度较高,整个半导体行业基本处于成熟期阶段,相对而言,中国半导体行业起步晚,技术和市场都处于高速发展阶段。回顾应用材料的发展历程,三个不同的成长阶段表现十分鲜明。从 1972 年公司上市到1997 年之前为公司的内生增长期,在这一阶段,营收和股价上涨了几百倍。1997-2013 为公司的外延扩张期,公司以收购兼并、内部重组为重心,业绩和股价上升趋于缓和,在这 15年的外延扩张期内,公司的营收仅上涨一倍,股价仅上涨 2 倍。经过扩张期 15 年的积累和蜕变,应用材料从 2013 年以后又开始发力,仅用了 4 年时间,营收规模继续上涨 1 倍,股价上涨 5 倍,应用材料进入了全面的成熟期。应用材料为代表的半导体设备商,市值高(大约为 300 亿美元),营收体量大(大约为 150 亿美元),而北方华创市值低(大约为 300 亿元),营收体量小(大约为 30亿元),大致与应用材料 1988 年的体量相当,而该阶段应用材料正好处于内生增长期。2、用 PE 给国产半导体设备商进行估值并不是合理的选择对于处于成长期的企业而言,盈利能力有较大波动,PE 也会随之大幅波动,因此对于国内设备商而言,利用 PE 估值有一定的局限性,应该选择净利润权重较低的估值指标。常用的估值指标有 PS、EV/EBITDA 以及 EV/Sale。PS 估值:对于成长期的企业,尤其是半导体这种高研发投入的企业而言,营收虽然大幅增长,但是净利润依然被高昂的研发费用所侵蚀,很难出现相匹配的盈利增长。该阶段市场往往更关注公司的行业地位和营收的边际变化,对盈利容忍度较高,因此在该阶段 PS 是相对合理的估值指标。PS估值(以收入为目标),克服利润的波动或亏损,聚焦于收入。PS 估值法是“成长股投资之父”菲利普·费雪在20 世纪 50 年代后期提出。PS 估值可以克服由于研发投入、市场拓展投入、季节性而导致的利润波动性或利润亏损的情况。PS 估值常用于:1)逆境反转的企业/业务;2)亏损中的企业/业务。PS=PE*净利润率, 净利润率越高,享受更高的 PS 估值:1)若净利润率>30%,PS 值在 10-20 倍=>折合 PE 为 30-60 倍2)若 10%<净利润率<30%,PS 值在 3-10 倍=>折合 PE 为 30-60 倍3)若净利润率<10%,PS 值在 1-3 倍=>折合 PE 为 10-30 倍(收入+预收款项)还原 PS 估值法:设备公司更适合首先将预收款项还原来后再估值。对于半导体设备商而言,预收款项和存货两大前瞻指标是公司未来营收预测的重要参考依据。半导体设备品类众多且每台设备的规格都不尽相同,因此半导体设备一般不会提前制造好,然后入库代售,而是会根据客户的需求定制, 先收一定比例的客户的预付款,然后再进行生产,生产周期 6 个月到 12 个月不等,同时公司会根据预收款项的情况严格控制存货。所以当期的公司确认的营收并不能真实的反应公司获取客户拿到订单的能力,只是公司过往历史的销售事实。其次对于要正确估值国内正处于发展的早期,市占率极低,国产替代市场空间巨大,每个领域竞争者极少,并且行业正处于超快速发展期的国产半导体设备公司,如果不把当期收到的订单预付款计入营收,是对公司销售能力的低估,从而歪曲了公司所处的行业发展特点。所以真实的 PS 估值公式为:市值/(收入+预收比例*当期预收款项增量)。EV/EBITDA(企业价值倍数)估值:半导体企业是典型的技术密集型企业,技术的发展和突破一方面靠高额的研发费用,另一方面靠对外兼并收购。一般而言,公司对外兼并收购会带来净利润、销售收入、现金流、杠杆率等一系列财务指标的大幅波动,从而带来公司估值水平的剧烈波动。这种情况下,投资者可以采用并购中常用的 EV/EBITDA 进行估值。企业价值倍数估值有几个优点:1)排除了所得税率不同的影响,使得不同国家和地区的上市公司估值更具可比性;2)排除了资本结构的影响,有利于不同公司估值水平的比较;3)EBITDA 剔除了折旧政策、财务杠杆、长期投资水平等非营运因素的影响,更清晰地展现了企业真正的运营绩效。EV/Sale 估值:当半导体企业净利率低于行业平均水平或者某个阶段处于亏损状态,但是公司未来的净利率有望达到行业平均水平时,EV/Sale 是一种较为合理的估值方式。其次,营收也不像净利润具有可操纵空间。

我要回帖

更多关于 半导体封装测试企业排名 的文章