日本JSR全称考虑在韩国设厂能否成功绕过日本政府限制?

本文将介绍并概括半导体设备和材料产业链及主要厂商。文︱编辑部整理图︱网络半导体设备半导体设备主要运用于集成电路的制造和封测两个流程,分为晶圆加工设备、检测设备和封装设备,以晶圆加工设备为主。检测设备在晶圆加工环节(前道检测)和封测环节(后道检测)均有使用。本文将介绍并概括半导体设备和材料产业链及主要厂商。文︱编辑部整理图︱网络半导体设备半导体设备主要运用于集成电路的制造和封测两个流程,分为晶圆加工设备、检测设备和封装设备,以晶圆加工设备为主。检测设备在晶圆加工f _ O环节(前道检测)和封测环节(后道检测)均有使用。晶圆加工流程包括氧化、光刻和刻蚀、离u I #子注入和退火、气相沉积和电镀、化学机械研磨、晶圆检测。所用设备包括氧化/扩散炉、x g T S G Z光刻机、刻蚀机、离子注入机、薄膜a h 0 ; . !沉积设备(PVD和CVD)、检测设备等。据平安证券2019年10月份的报告显5 P f示,晶圆厂投资总金额中,设备投资占比70%-80%,基建和洁净室投资占比20%-30%。目前在建的8寸和12寸晶圆厂,总投资金额超过900亿美元,按照70%的比例测算,累计的相关设备投资超过630亿美元。在市场规模方面,据SEMI数据,2018年全球半导体设备市场达到645.5亿美元,同比增长14%。SE6 b )MI预计2019年全球市场有所调整,202$ E / *
s0年将重回增长。区域分布上,韩国、中国大陆、中国台湾、日本、北美、欧洲分别占比27%、20%、; i 5 S { $ N W16%、1q J b 0 T C K R W5%、9%、7%。2018年中国大陆首次超过中国台湾地区,成为全球半导体设备第二大市场。半导体设备分为晶圆加工设备、检测设备、封装设备和其他设备。SEMI预计,2019年全球晶圆加工设备、检测设备和封装设备市场规模分别为422亿美元、47亿美元和31亿美元。晶圆加工设备是主要设备,D X 占全部设备比重约80%。= ; 8 i e半导体材v V N b
:
P .料半导体材料是指电导率介于金属Z F 1与绝缘体之间的材料,半导体材料G [ f V的电导率在欧/厘米之间,一^ A 4 S u T k般情} Y S i S q & 6 M况下电导率随温度的升高而增大。半导体材料是制作晶体管、集成电路、电力电子器件、光电子器件的重要材料。半导体材料市场可以分为晶圆材料和封装材_ u W r s { a料市场。其中,晶圆材料主要n 2 v有硅片、光掩膜、y h h _ Y /光刻胶、光刻胶辅助设备、湿制程、溅射靶、抛光液、其他材料。封C f Q Q
w 7装材料主要有层压基板、引线框架、焊线、模压化合物、底部填充料、液体密封剂、粘晶材料、锡球、晶圆级封装介质、热接口材料。在半导体材料领域,由于高端产品技术壁垒高,国内企业长期研发投入和积累不足,我国半导体材料在国际分工中多处于中低端领域,高端产品市场主要Z W V E被欧美日韩台等少数国际大公司垄断,比如:硅片全球市场前六大公司的市场份额达90%以上,光刻胶全球市场前五大公司的市场Z : i p N / w u l份额达80%以上,高纯试剂全球市场前六大公司的市场份额达80%以上,CMP材料全球市场前七大公司市场份额达90%。, # ; O半导体材O o s ; 8 / c H m料包括半导体制造材料与半导体封测材料,2019年4! J 3 R月2日,SEMI Materials Market Data Subscription公布全球半导体材V ~ k ^ G v T料2018年销售额为519亿美元,同比增长10.6%,超u z } C k & P L过2011年471亿美元的历史高位。其中,f – 3 4 ) D晶圆制造材料和封测材料的销售k n I
额分别为322亿美元和197亿美元,同比增长率分别为15.9%和3.0%。2009年N Y + N t R S 1 ~,制造材料市场规模与封测材料市场规模相当,从此至今,制造材料市场规模增速一直高于封测材料市场增速。经过近十年发展,制造材料市场规模已达封测材料市场规模的1.62倍。根据SEMI预测,j @ g ~ s 4 e –2019年硅片、电子气体、光掩膜、光刻胶配套化学品的销售额分别为123.7亿美元、43.7亿美元、4A L o Z R N1.5亿美元、22.8亿美元,分别占全球半导体制造材料行业37.29%、13.17%、x P l i12.51%、6.87%的市场份额。其中,半导体硅片占}
a比最高,为半导体制造的核心材料。产业链厂商厂商名录半导体前端设备光刻中国大陆:上海微电子(SMEE):上海微( j i电子是6 Y M o T F D d t在国家科技部和上海市政府共同推动下,由国内多家企业集团和投资公司共同投资组建的高科技企业。公司成立于2002年,主要从事半导体装备、泛半导体装备以及高端智能装备的设计制造销售,其中光刻设备是公司的主营业务。公司在光刻设备领域拥有全国最先进的技术。目前公司光刻机可以应用于集成电路产业链中晶圆制造、封装测试,u ` o x l j ] _以及平板显示、高亮度 LED 等领域。欧洲:阿斯麦(ASML):总部位于荷兰艾恩德霍芬,是全Z j @ Q 8 ~ c ] W球v [ m最大的半导体设备制造商之一Q = g –
F 1,为半导体生产商提供光刻P . A机及相关服务,TWINSCAN系列是目前世界上精度最高,生产效率最高,应用最为广泛的高端光刻机型。目前全球绝大多数半导体s q N g / H m 5生产厂商,都向ASML采购TWINSCAN机型。ASML的产品线分为PAS系列,AT系列,XT系列和NXT系列。市场上的主力机种是XT系列以及NXT系列,为ArF和KrF激光光源,XT系列是成熟的机型,分为干式和沉浸式两种,而NXT系列则是现在主推的高端机型,全部为沉浸式。目前已经商用的最先进机型是Twinscan NXT 1950i,属于沉浸式光刻机,用来生产i : [ w关键尺度低于38纳米e a % K = V y 9的集成电路。目前市场上提供量产商用的光刻机厂商有三家:ASML、尼康、佳能。根据2007年的统计数据,在中高端光刻机市场,ASML占据大约60%的市w g i . 1 m 9场份额G D M u。而最高端市场(例如沉浸式, i o O光刻机),ASML大约目前占据80%的市场份额。2007年收购睿初(Brion)科技,2012Y f N O F 9 & J年10月收购Cu 2 f jymer公司。日本:尼康(Nikon):尼康是日本著名的相机制造商,成立于1917年,同时也是分步重复半导体生产设备(分档器)的制造a a C W A
W 2商,世界上仅有的三家能够制造商用光刻机的公司。尼康的G-line、I-liB n Xne步进式光刻机(stepper)、投影式光刻机在全球晶圆厂大量使用。佳能(Canon):半导体器件制造中最重要的步j D 6 ` K r骤是光刻,其中通过通常称为步进机或^ i ( b J #扫描仪的精密半导体光刻设备将电路图案从掩模转移到晶圆或面板。佳能开发了一系列的半导体光刻设备,旨在满足传统半导体晶圆加工之外的广泛应用的技术要求。产品包括KrF扫描仪/步进器、适用于前端(FEOL)4 i
_ W 7 .应用的i-line步进器、适用于后端(BES R U v , EOL)和高级包装应用的i-line步进器。沉J j ? 1积中国大陆:北方6 T
J华O ? Q 0 h N G _ –创(Nauo [ q B ] M 1 ; Yra):北方华创是由七星电子和北方微电子战9 o : W K )略重组而成,是目前国内集成电路高端工艺装备的领先企业。北方华创主营半导体装备、真空 ~ ^ / } % 3
装备、新能源锂电装备及精密元器件业务,为半导体、新能源、; W ^ p f x % {新材料等领域提供解决方案。公司现有四大产R e z _业制造基地,营销服务体系覆盖欧、美、亚等全球主要国家和地区。拓荆科技(Piotech):沈阳拓荆科技有限公司成立于2010年4月,是由海外专家团队和中科院所属企业共同发起成立的国家高新技术企业。2016年、2017年连续两年获评“中国半导体设备五强企业”。公司拥有12英寸PECVD(等离子体化学气相沉积设备)、ALD(原子层薄膜沉积设备)、3DNANDPECVD(三维结构闪存专用PECVD设备)三个完整Z i 3 x 8 / 系列产品,技术指标达到国际先进水平。产品n _ U广泛应用于集成电路前道和后道、TSV封装、光波导、LED w
. Q _ ) ]、3D-NAND闪存、OL} f % N !ED显示等高端技术领域。美国:泛林集团(Lam Research):泛林集团于1980年由林大卫博士创办,总部位于美国加州的弗里蒙特,l m w P ~是一家从事集成电路制造、制造、销售和服务的美国公司,是半导体产业提供晶圆制造设备s n C i . k A K和服务的主要供应K Z R l :商之一。其产品广泛分布于半导体制造,包括薄j x /膜沉积. = n R、等离r % & 7 8 E _ ! w子蚀刻、光q t b刻胶带、晶圆清洗等设备的设计和制造方面。应用材料公司(Applied Materials):应用材料公司是, j : K * )全球最大的半导体设备和服X
P e t j务供应商,创建于1967年,总部位于美国加利福尼亚州圣克拉拉,主要为全球的半导体行_ K 3 A业开发、制造、行销并m 4 4 U S维修半导体g i 2 u S
N晶圆制造设备及相关备件。应用材料的客户包括半导体晶圆与集成电路制造商、平面液晶显示器、太阳能电池与模块等所有的制造商。应用材料公司还是全球半导体行业中最大且最成功的硅片制造、检测设备和掩膜设备制造商,同时提供尖端L _ t J P : * 5 C生产工艺、高效服务和先进软件产品。应用材料的产品对于全球的半导体集成电路市场具有举足轻重的地位和意义,全球知名的半导体企业均采用应用材料的设备和服务生产集成电路产品。应用材料公司已经连续15 年名列全球半导体设备供应商第一名。韩国:Wonik IPS:WONIK IPS于1998年[ 1 } Y H ` F # Y在世界上首o H l ! %次成功实现了ALD设备的量产,同时跻身半导体设备领域的核心企业,并于2014年在半导体CVD设备开发及量R 2 I & 7 U ! )产上取得了成功,提高了占有率。而且,除半导体设备外还具备Display的干式蚀刻机V D #
6 # h } N( Dry Etcher )、PE-CVD 及太阳能电池( Solar Cell )的有/无机蒸镀机领域等多方面的产品组合,作为综合设备公司脱颖而出。2014年在需求日益增长的3D NT V y P / sANS Flash领域的核心生产设备Mold工艺设备的量产化上取得了成功,并于2018年成功进入10纳米工艺的DRAM High-K市场。PSK:PSK自1990年成立以来,一直从事于半导体设备的研发和生产,是的半导体专业生产企业。公司向全世界20多家半导体元件企业和封装企业利用电浆方式(Plasma),的灰化(A$ * W r B d K Qshing)、清洗(Dry Cleaning)、 蚀刻D 2 H V W O H A $(Etching) 工艺i ^ ;和用于封装工艺的WLP Descum、TSV Treatment设备和解决方案。尤C K M J y U Q其在 灰化工艺和` 9 l 9 h & h n电浆预处理(Descum) 工艺领域居全球第一。1997年,公司在科斯达克(KOSDAQ)市场上市。日本:东京电子(Tokyo ElectrS – n f –on):东京电子有限公司是一家日本电子和半导体公司,总部位于I B 0 o : !东京。东京电子是一家制造集成电路,平板显示器和光伏电池供应商。东京电子器件株式会社是东京电子有限公司旗下子公司,公司专门制造半导体器件,电子元件和网络设备。截至2011年,东京电子是日本IC和PFD设备最大制造商,也是世界第三大IC和PFD设备制造商。2013年9月24日,东京电子和应U * # $ [ Y e R用材料公司宣布合并。合并D Q K d c ? j
X后的公司被称为Eteris,它将是世界上最大的半导体加工设备供应商。检测中国大陆:格兰达科技(Grand):格兰达是中国精密机电一体化制造的领先供应商。格兰达从1995年的机械加工和自动化装置、工装夹具业务起步,先后建立精密CNC加工、饭金加Z ) (工、表面处理(喷涂、氧化)、点胶、模具压铸和电子组装等专业部门和团队。2003年建立研发中心,在半导体封装设备、硬盘检测设备、自动化设备、机械手集成应用设备和数控机床设备等领域先后取得了100多个发明专利和实用新型专利。格兰达从成立之初在深圳福田租赁600平方米厂房起步,先后购置和建设深圳福田金谷办公室、深圳坪山装备产业园及江门数控装备产业园;并陆续在香港、新加坡、上海、江门、美国等地设立_ q 公司或生产基地。睿励科学仪器(Raintree):睿励科学仪器(上海)有限公司是于2005年创建的合资公司,致力于研发、生产和销售具有自主知识产权的集成电路生产制造工艺装备产业中的工艺检测设备。为用户提供光学测量设备、光学关键尺寸分析系统、镓液态离子源、缺陷检测、等离子体灰化等产品,广泛用于科学仪器、微电子等领域,~ 7 y ,目前拥有的主要产品包括光学检测M : O A {设备、硅片厚度及翘曲测量设备及子公司宏观缺陷检测设备等。美国:KLA:是一家从事半导体及相关纳米电子产业的设计、制造及行销制程控制和良率管理解决方案商,其产品包括晶片制m Z 3 L G ,造、晶圆制造、光罩制造、互补式金属氧化物半导体(CMOS)和图像感应器制造、太阳能制造、LED制造,资料储存媒体/读写头制造、微电子机械系统制造及通用/实验室应用等。此外,科磊半导体公司还提供翻新的KLA-Tencor工具,连同其KT认证计画予客户制造更o )
Z k 6 b r大的设计规则装置及产品支援服务。公司产品应用于许多其F R e [ . –他行业,包括LED,B
i 2 P资料储存和太阳能等产业,以及一般材料的研究。韩国:Un` ^ ` . Kitest:半导体设备厂商,主要产品有半导体后道测试设备,存储B { z ( – :器模组测试D H U L设备实力较强。Techwing:除了设备还生产半导体产业用的材料如 COK。日本:日立H 4 ` a m I w f N高科技(Hitachi HM e gighte; v C V ; n Vchnologies):2001年10月,日立仪器集团和半导体制造设备集团合并后,公司名称更# w w * / % q m J改为日立高M ;
D h $ T 6 6科技公司。日立高科技在满足小型化和节能要求的电子设K [ N U M备制造领域处于最前沿,提供核心技术的解决方案,例如全球最畅销的测长SEP ~ g (M和提供高精度的等L
, ( U # , G离子刻蚀系统超细加工、过程制造设备和检查系统,以及在许多研究领域中使用的电子显微镜。产品包括干蚀刻系统、CD-SEM和缺陷检查、电子显微镜/原子力显微镜等。蚀刻中国大陆:中微半导体(AMEC):中微半导体设备(上海)股份有限公司是一家以中国为基地、面向全球的高端半导体微观加工设备公司,为全球集成电路和 LED 芯片制造商提供极具竞争力的高端设备和高质量的服务。中微公司涉足半导体芯 片前端制造、先进封装、LED 生产、MEMX T 6 W J rS 制造以及其他微观制程的高端设备领域。其中中微公司的等离子体刻蚀设备已被广泛应用于国际一线客户从 65 纳米到 5 纳米工艺的众多刻蚀应用,中微公司开发的用于 LED 和功率器件外m a = * I * / $ b延片生产的 MOCVD 设j 8 Z ; 0 # [ B t备已在客户生产线上投入 量产,2018 年公司在全球氮7 v Y化镓基 LED MOCVD 设备市场占据领先地位。公司主要产品有:CCP 刻蚀设备:为 65 纳米到 5 纳米及更先进工艺的芯片制造提供创新的解决方案 ;ICP 刻蚀设备:为 1X 纳米及更先进工艺的逻辑和存储器件刻蚀应用提供创新的解决方案;深硅刻蚀设备:用于 CIS、MEMS 和先进封装等领域;MOCVD 设备:用于 LED 和功率器件外延片批量生产;VOC 净化设备:用于平板显示领域 VOC 废气处理。2019年7月,科创板i 3 M u上市。光刻胶加工中国大陆:芯源微电子(Kin; X X n c 3 ;gsemi):沈阳芯源微电子设备股份有限公司成立于2002年,是由中科院沈阳自动化研究所发起创建$ X Y # w I v $ J的国家高新技术企业,专业从事半导体生产设备的研发、生产、销售与服务,致力于为客户提供半导体装备与工艺整体解决方案。产品包括光刻工序涂胶显影设备(涂胶/显影机、喷胶机)和单片式湿法设备(清洗机、去胶x ` 3 2 b机、湿法刻蚀机),产品可用于6英寸及以下单晶圆处理(如LED芯片制造环节)及8/12英x & d R n E (寸单晶圆处理(如集成电路制造前道晶圆加工及后道先进封装环节)。2019年12月16日于科创板上市。日本:SCREEN:DAINIPPON SCREEN是日本半导体设备和LCD 生产设备厂。公司设备制造包括半导体、LCD、印/ E m k O刷电路板制程设备,客户遍及日本、韩国和台湾。另外还提供图像处理设备,如CTP版(打印输出设备)、数字印刷、印刷制版设备及其他字体及维修保养服务。2015年1月,公司更名为SCREEN HolG { b O , ^ u =dings Co.Ltd。SCREEN现在正在发展和生产印刷领域及世界领先的高科技领域的印刷技术数字化设备,如电子领u ? L V e . K 4 ?域的半导体制造设备,FPDs (平板显示器)和印刷电路板。SCREEN提) [ S N供各领域6 A 0 ] h之半导体晶圆设备,包含洗净、蚀刻、显影/涂布等制程用途,其中洗净设备于半导s ; $ y &
g _ c体业界具有极高之市_ p z B m `占率,同时随着半导体制程技术进步不断推陈出新设备产品。清洗中国大陆:盛美半导体(ACM):盛美半导体设备(上海)有限公司的前身是1998年成立于美国硅谷的ACM Research,主营电抛光技术的研究。然而,当时由于市场不成熟、迫于资金压力,同时看到了国内市场前景,王晖随j x A 6后回国成立了合资公司盛美半导体。盛美半导体设备(上海)有限公司成立于2005年5月,是一家注册于中国上海张江高科
4 r O L f ! b技园区的、具备世界领先技术的半导体设备制造商,公司在华投资逾三亿。是中国一家专注于集成电路制造产业中电镀铜设备、抛铜设备、单晶圆清洗设备的研发及生产的公司。日本:芝浦机电(Shibaura Mechatronics):芝浦机电有限公司成立于1939年10月12日,产品包括FPD制造设备、半导体制造设备、真空应用设备以及其他各种应用设备。半导体材料基板中国大陆:珠海越亚(Acces} P * d Xs):珠海越亚半导体股份有限公司最早D [ G E R ? d由中国、以色列两国企业合资组建D ) [ ] f k ~ S l,主要从事具有自主– ( v知识产权的刚性有机IC无芯封装基板的研发6 6 C C L、L P @ 2 U @ , [
生产和销售。自2006年成立以来,公司以“新型C I ^ D集成电路支撑结构及其制作方法”等为核心进行高新技术成果转化,成为世界上首家采用“铜柱法”生产无芯封装基板并实现量产的高科技企业。公司主要为客户提供封装基板解决方案以及定制化的高密度有机无芯IC封装基板,产品经过封装测试厂的封测后,最终运用于手机、平板电脑、游戏机等便~ D t a h 8 y t W携式终端消费电子产品。目前公司主要研发生产应用于模拟芯片封装领域的无线射频模块(RF Module)封装基板,此类产品已处于量产阶段,已通过国际芯片企业威讯联合半导体(RFMD)、安华高科技(Avago)等客户认证并获得其量产订单,3 l + k {该R X M等客户已进入iPhone、三星Galaxy系列等智能手机以及iPad、Galaxy Tab等平板电脑的供应链。兴森4 K N ; ) 4 t ^ #科技(Fastprint):兴森科技成立于1999年,是国内知名的印制电路板样板、快件、小批量板的设计及制造服务商,为该细分领域的龙头企业,在PCB样板、小批量板市场有较强的竞争力和议价能力。公司继m ; ] b
G 6续围绕PCB业务5 m
. N k a & w、军品业务、半导体业务三大T _ q X 业务O d N ^ X G Y }主线开展。其中PCB业务包含样板快件、小批量板的设计、研发、生产、销售以及表面贴装;半/ K V _ + R导体业务产品包含IC封装基板和半导体测试板。半导体业务包含IC封装基板和半导体测试板业务,IC封装基板采用设计、生产、销售的经营模式,在各种产4 r 5 1 c品中均有应用,包括手机PA及服务器使用的内存条、SSD硬B C X – 盘使用的NAND Flash,移S 9 %动设备中的存储MMC等;半导体测试板采O L i X l } P _用提供设计、销售、制造、表面贴装整体解决方案的一站式服务经营模式,产品应用于从晶圆测试到封装前后测试的各流程中,类型包括接口板、探针卡和老化板,公司目前的半导体测试板产品主要为接口板,子公司上海泽丰为客户提供半导体测试综合解决方案,并将美国Harbor公司、公司本部三方各自的优势有效协同,为客户提供一站式服务。深南电路(SCC):深南电路股份有限公司,成立于1984年,注册资本3.3936亿元,总部坐落于中国广东省深圳市,主要生产基地位于中国深圳、江苏无锡m J Y n H g及南通,业务遍及全球,在北美设有子公司,欧洲设有研发站点。深南电路拥有印制电路板` o w H j(背板、高速多 层板、多功能 金属基板、厚 铜板、高频微 波板、刚挠结合板)、封装基板(存储芯片封装 基板、微机电 系统封装基 板、射频模块 封装基板、处理器芯片封装基板和高速通信封装基板)及电子装联(PCBA板级、 功能性模块、整机产品/系统总装)三项业务。韩国:三星电机(SEMCO):三星电机是韩国最大的企业集团三星集团旗舰子公司,也是世界上营收y h
n 最大的电子工业制造商。三星电机有限公司创建于1973年,起初是一个电子产品核心部件的生M e ^ M产商,逐步成长为韩国拥有61.2亿美_ C
T 9元总收入的电G ; % ; – W 0 H N子零部件生产业的~ a i h ? _ 7
W领头羊,并在全球市场中扮演着重要角色。公b F } v 9司由四个部门构成:LCR(电感电容电阻)部门负责的多层陶瓷贴片电容和极性! g c Q电容;ACI(高a – f ) N O q级电路互连)部门负责高密度互连和IC(集成电路)的业务;+ u u K h S v rCDS(电路驱动解决方案)部门的业务细分数字调谐器,网络模块,能源模块和其他普通模块;Ov r fMS(光感及机械电子)部门业务包括图像传感器模块以及精密马达等。LGI:晶圆中国大陆:上海硅产业集团(NSIG):硅产业集团主要从事半导体硅片的研发、生产和销售,是中国大陆规模最大的半导体硅片企业之一,F 3 t
I ) e是中国大陆率先实现300mm半导体硅片规模化销售的企业,提供的产品类型涵盖300mm抛光片及外延片、200mm及以下抛光片、外延片及SOI硅h T s = m S =片。旗下公司有Okmetic”、 “新晟半导体”、 “新傲科技”等。上海超硅半导体(AST):上海超硅半导体有限公司拥有目前国内最大的8% / B A
h英寸硅片抛光生产线和蓝宝石材料生产线;公^ l
q司产品包括半导体硅材料、LED用蓝宝石材料、太阳能电池用硅材料、复合半导体材料、V L L f wMEMS等特定使用g 8 0 , n q材料以* ) . V H E D 0及相关的各种技术咨询与服务。公司拥有按一流超大规模集成电路生产要求设计的最高净化级别为10级0.1um的I X & c ; & * f标准厂房和具有生产高质量稳定规模化生产水平的生产设备和完善的质量监控与表征设备体系。中欣晶圆半导体(e a @ c M ]Ferrotech):Ferrotec(中国)于1992年成立于浙江杭州E 5 _,是一家由日本Ferrotec株式会社在华设立的集产品研发、w = ~制造、销售于一体的多元化企业,旗下管理的20多家公司遍布中国各r g ] b G z r 6地,为国_ p Y X内外客户提供具有世界先进水平的材料、器件、装备和系统解决方案。其中Ferrotec株式会社行销网络遍布全球,在中国、日本、美国、德国、法国、意大利、西班牙、俄罗斯、韩国、马来西亚等地设立据点公司,是一家拥有多项高端生产技术的跨国集团R b
L。Ferrotec(中国)以磁性流体技术和磁流体密封技术为v g 8 6基石,从事磁性流体密封圈、半导体硅片、热电半导体致冷材料与器件、半导体石英制品、精密陶瓷制品、半导体真空传动装置及大型腔体、C ^ x J q P太阳能发电材料、电子束蒸发镀膜机等产品的研发、制造和销售,产品涉及电子、半导体、& X G P机械加工、太阳能发电、汽车/新能源汽车、航空航天、家用电器和医疗器械等众多领/ B W E域。北京奕斯伟科技(ESWIN):北京奕斯伟计算技术有限公司(ESWIN)创办于2016年3月,核心事业包括物联网及人机交互集成电路设计、封测和材料三大领域。产$ #
M ~品广泛r Z
S应用于显示器件、人工智能、车联网、可穿戴设备等领域。ESWIN总部设在北京,在北京、成都、合肥、苏州、台湾、韩国设有研发中心,同时在成都、合肥、苏州等地也拥有多个制造基地和产业园区,并在香港m ? q V设有营销及技术创新平台,产品覆盖欧、美、亚等全球主要地区。上海新傲科技(Simgui):上海新傲科技有限公司成立于2001年,2009年6月整体变更改制为上海新傲科技股份有限公司,由中科院上海微系统所牵头,联合中外投资者设立。新傲公司目前是C = 3 Q Q q q中国领先的SOIv z g . f材料生产基地,也是世界上屈指可数的SOIY . V l # a i s材料规模化供应商之一。拥有SIMOX(注氧隔离)、Bonding(键合)和Simbond(完全自主开发的SOI新技术)和Smart-cut四类SOI晶片制造技术,能够提供100mm(4英寸)、125mm(5英寸)和150mm(6英寸)SOI晶片和SOI外延p 3 : F { c ] o片,能批量提% = E
?供8英寸SOI片。产品系列包括高剂量、低剂量、超薄、z * A高阻SIMOX晶片,+ E BBonding晶片,Simbond晶片和基于SmartS n ^ 8-cut技术的晶片,并可根据用户需求外延到所需的表层硅厚度。新傲公司目前也是中国技术领先的外延硅片供应商,可以W B O g %提供4-6英寸的规格与要求的外延u o ^ p H硅产品和外延加工服务,现已开始批量提供8英寸外延片S p d 0。天( H 8 S 1 F津中环半导体(Zhonghuan):天津中环半导体股份有限公司成立于1999年,前身为1969年组建的天津市第H T 4 x 5 c 0 F *三半导体器件厂,2004P 2 ? ! L 4 e l年完成股份制改造,2007年4月在深圳证券交易所上市,是生产经营半导体材料和半导体集成电路与器件的高新技术企业。公司主营业务包括高压器件、功率集成电路与器件、单晶硅和抛光片四大方面,形成了具有产品特征和行业属性强关联M u O的多元化经营。在高压器件领域,主要有高压二极管、硅整流二极d D ! 8 ] R f 7管、硅桥O p – 4 . X w e ]式整流器、微波炉用高压二极管、工业用特种高压二极管等产品,并且将扩散片、GPP芯片、TVS芯片等业务作为发展方向。在; 9 n j I 3功率器件领域,功率器f s 0 0 @ N V ;件事业部6英寸0.35微米功率半导体器件生8 ^ b y u产线是天津市二十大重点工业项目,是一条以半u Q :
v导体芯片制造、测试为目的的生产线,该生产线拥有国内先进的6英寸线生产设备,主要产品为功率集成电路,以及VDMOS、Trench MOS、Schottky、FRD、IGBT等系列功率分立器件。在单晶硅材料领域,形成了以直拉硅棒、区熔硅棒、直拉硅片、区熔硅片为主的四大产品系列,是中国硅单晶品种最齐全的厂家之一。产品主要应用于半导体集成电路、半导体分立器件、电力电子器件、太阳能电池和其他微电子器件。韩国:SK Siltron:LG Siltron原是LG旗下制造半导体芯片基础材料半导体硅晶片的专门企业。2017年1月,SK集团收购了LG Siltron 51%的股份,并将其k $ j I ) I V T更名为SK Siltron。中国台湾:环球晶圆(GlobalWafers):环球晶圆在台湾、中国大陆、日本与欧美等地均有布局,公司已与日本半导体设备厂Ferrotec合作建置上海8英寸硅晶圆厂,初期月产能约达10万片。同时,双方也已洽商在杭州另行兴建8英寸厂,初步规划于2019年底时可开始生产h S B #。环球晶圆是中美硅晶的子公司,2012年收购通过前身为东芝陶瓷的r 5 D X 7 CovalentMaterials(现为CoorsTek)的半导体晶圆业务,扩大了业务范围。后通过收购全球第四大半导体硅晶圆制造与供货商SunEdisoni E .Semiconductor一跃成为第三大硅晶圆供货商。台塑胜高(Formosa SUMCO):台塑胜高科技股份有限公司于1995年成立并与日V * 1 ) u g * Y本小松电子材料公司签订特许授权及协助合约,于1998年8吋建厂完成,产出第一根8吋晶棒。台塑胜高专注于矽晶圆产业领域,结合SUMCO集团优越生产技术R _
l
T c和台塑企业优秀管理制度,不断追求品质精进和成本合理化以提供物廉质优矽晶圆予国d . w z f内各大电子厂,并借由提升市场占有率,以强化公司整体竞争力( u
。台湾合晶(Wafe. Q = 8 & E ` Er Works):Wafer Works是一家世界级的电子材料供应商,专门生产各种. v %掺杂的硅晶片,该晶片广泛用于电力和数字应用的半导体器p & ; A J C 5
#件中。通过垂直整合的单晶锭,抛光和Epi晶圆产品线为客户提供广泛的晶圆解决方案。日本:日本信越(Shin-Y g Q + $ d wEtsu):全球集成电路用硅片制造商巨头。作为高科技材料的超级供应商,信越集团不断地提供着最尖端的技术和产品,其半导4 $ ` b { 7 = Q
体硅、聚氯乙烯等原材料的供应在全球首屈一指。目前信越集团制造的高性能有机硅产品多达 4000 多种,现已广泛应用于电子、B s }电O o $ Q T e气、汽车制P b F h : z v造、机械制造、化工、纺织、食品工业以及建筑工程领域,并在所有产业方面提供了高附加价值的产品。日本胜高(SUMCO):SUMCO 成立于1999年7月30日,主要业务为半导体用硅晶h [ i * *片的制造和销售。n ! gFerrq , # 7 * 1 Qotec:Ferrotec于1980年9月在东京都港区成立,负责进口和销售计算机密封件,真空密封件和磁性流体。1992年1月在中国杭州成立杭州大和热磁电子有限公司,95年在中国上海成立上海m { K申和热磁电子有限公司。Ferrotec共有8个主要产! s – i品类型,热模块、z t ] h ) 1 4 1功率半导体基板、磁性流体、真空密封、石英产品、陶瓷制品、CVD-SiC产品以及半导体硅F ` ( 5 N I z晶片。RS Technologies:RS Technologies是全球最大的晶圆再生制造公司之一,业务范围包括电子材料、电子器械部品、通信器械部品材料的制造、加工、再生和销售;太阳光发电事业 、半导体设备的收购、销售;半导体材料、部件的销售;半导体晶圆制造工程中的技术咨询服务。光& i V 2 ] (刻中国大陆:北京科华微电子(Kempur):北京E j 7 h / T 7 i m科华微g U z
+
O电子材料有限3 $ K h X E k公司是一家中美合资企业,成立于21 & d m u G004年,产品` b E 8 @ 0覆盖KrF(248nm)、I-line、G-line、紫外宽谱的光刻胶及配套试剂 J { J 8 r ; 3。科华微电子拥有中高档K e r z M ) Y J光刻胶生产基地:2005年,建成百吨级环化橡胶系紫外负性光刻胶和千吨级负性光刻胶配套试剂生产线;2009年5月,建成高档G/I线正胶生产线(500 吨/年)和正胶配套试剂生产线(1000 吨/年);2012年G ! ( X 912月,科华微电子建成248nm光刻胶生产线。科华微电子光刻胶产品序列完整,产品应用领域涵盖集成电路(IC)、发光二极管(LED)、分立器件、先进封装、微机电系统(MEMm 2 Y 1 { G ,S)等。产品类型覆盖KrF(248nm)、G/I线(含宽谱),主要包括:KrF光刻胶DK1080、DK2000、DKF @ [ 23000系列;g-ik U g o
line光刻胶KMP C5000、KMP C7000、KMP C8000、KMP EP3100系列和KMP EP3200A系列;Lift-off工艺使用的负胶KMP E3000系列;用于分立器件的BN、BP系列等。韩国:东进半导体(Dongjin Semi):Dongjin Semichem成立于1967年,生产和销售用M C : F于半导体和显示器的材料,用于替代能源的材料(太阳能电池,燃料电池)和发泡W a Y s F H剂。半导体和w ] W _ m显示材料包括光致抗蚀剂,减反射膜(BARC),旋涂碳(SOC),研磨剂k n C F :(CMP浆料),湿化学药品,有色抗蚀剂,有机绝缘体,柱状间隔物等有助于现代化和整合的化学工艺材料。凭借在发泡剂领域积累的声誉和技术,Dongjin Semi4 g f Q [chem在1980年代初对半导体和显示材料行业进行了开拓性投资。19K 2 : I Z
L
N83年,在完成EMC业务之后,该公司进入了半导体材料领域N y m F I ? 6 #,并在硅片上应用了用于半导体的光刻胶,以形成众多半导体电路的精细图案。JSR:日本JSR是全球最大的光刻胶生产厂,占据全球份额24%,也是三星、SK海力士进口光刻胶的主要来源。东京应F 7 L – }化工业(Tokyo Ohka K? V n aogyo):东京应化工业成} K . V F 7 & Q立于1940年10月25日,业务范围包括生产制造材料,例如用于半导体和显示器的光刻工艺的光敏树脂(光致抗蚀剂? t ~)j Y b O z和高纯度化学品,各种加工设备(例如半导体和显示器制造设备)以及其他8 c K O无机和有机化学品制造销售。溅_
; % = 0 (击中国大陆:江丰电子(KFMI):宁波江丰电子材料股份有限公司创建于2005年,专业从事超大规模集成电路制造r
l
& & 4用超高纯金属e f L u { u ` n材料及溅射靶材的研发生产,于2017年6月在深交所上市。江丰电子0 . ? ) v G 0 w `在全球L v g T先端7nm FinFET (FF+)技术超大规模集成电路制造领域批量应用。目前江丰电子的销售网络e : % v C D q r覆盖欧洲、北美及亚洲各地,产品应用到多家国内外知名半导体、平板显示及太阳能电池制[ 4 @造企业。化学机械研磨液中国大陆:安集微电子(Anji):安集微电子科技(上海)股份有限公司是一{ W n { & O家以自主创新为本,集研发、生产、销售及技术服务为一体的高科技半导体材料公司。公T O p o司主营业务为关键半导体材料的研发N k V } M M 7 #和产业化,目前产品包括不同系列的化学机械抛光液和光刻胶去除剂,主要应用于集成电路芯片制造和先进封装领域。公司位于上海浦东新区,在上海拥有一座研发中心和一座生产基地,并在台H K $湾地区、浙江宁波分别设立全资子公司。目前客户遍及中国大陆、台湾地区、美国、欧洲、新加坡、马来西亚等国家和地区。韩国:Soulbrain:Soulbrain 是为半导体和平板显示器制造商提供特种电子材料的关键供应商。KC Tech:除了设备还生产半导体及面板产业用的各种化T S B ( l C s u t学品。日本:日本富士美(Fujimi Incorp` X
; B !orated):公司经营范围:提供化学机械研磨和晶圆研磨用浆料。日本 FUJIMI 公司,拥有世界高科技领域) : P 5 ; q P 8所使用E 0 U * & [ _研磨材料最大的市场占有率。在超过半个世纪的光电产业发展中,随着各类新型材料的出现 FUJIMI 公司针对被加物件各异的– c L ~理化特性,研发了各类不同的研磨微粉、抛光材料= m d y ` o B D *,充分地满足了市场需求。化学品中国大陆:润玛电子材料(Runma):江阴润玛电子材料股份有限公司于2R [ n $ c J002年创立,2012年整体变更设立股份有限公司。目前公司产品已在国内半导体分立器件、大规模集成电路、硅材料处理、平面显示器行业的主要厂家广泛应用,特别是自主开发的R
i * 9 DM-A、RM-B系列超净高纯电子化学品,填补了国内空白,替代部分进口,已成熟应用于多家大型微电子领域企业。  上海华谊(Huayi):上海华谊(集团9 W 2)公司是由上海市J X i t F Q政府国有资产监督管理委员会授权,通过资产重组建立的大型化工企业集团。上海华谊80%的核心资产已在上海证券交易所成功5 A . e t H –上市;旗下企事业单位有双钱轮胎集团有限公司、上海华谊能6 ~ ) I C 9 # D源化工有限公司、上海天原集a o Z ] c团有限公司、上海华谊精细化工有限公司、上海华谊集团投资有限公司、上海氯5 g g 7碱化工股份有限公司、上海华谊丙烯酸
o & ^ i y有限公司、上海华谊集团资产管理有限公司、上海市化工科学技术情报研究所( R `、上海市化工` t X O L =环境保护监测站等。其中“华谊集团”、“氯^ / ? W碱化工”同时发行A、B股。上海新阳半X ~ @
导体(Sinyang):上海新阳半导体材料股份有限公司创立于1f w m X 5999年7月,2011年6月在深圳证券交易所创业板上市。上海新阳形成了拥有完整自主可控知识产权的电子电镀和电子清洗两大核心技术,用于晶圆电镀与晶圆清洗的第二代核心技术已达到世界领先水平。产] y ; a 3 N K品广泛应用于集成电路制造、3D-IC先进封装、IC传统封测等领域,满足芯片铜制程90-28nm工艺技术要求,相关产品已成为r H b & h r多家集成电路制造公司28nm技术节点的基准材料(Base Line)。公司已立项研发集成电路制造M F b ; 8用高分辨率193nm ArF光刻胶及配套材料与应用技术,拥有完整自主可控知识产权的高端光刻胶产品与应用即(
;将形成公司的第三大核心技术,公司在国内半导体功能性化学材料领域的领先/ D ` { M u地位将更加稳固。韩国:SK MaterialsU o * v S:据官网资料介绍,b { ; zSK Materials是一家气体&信息技术材料产业的综合解决方案提供商,成立于1982年11月10日,业务包括清洗气体(三氟化氮)、沉积气体(六氟v
V f W }化钨、甲硅烷、乙硅烷、氯硅烷、二氯氢硅等)、大宗气体(氧气、氮气、氩气等q = ? T N @ N u I)、蚀刻气体(氟甲烷、 全氟丁二稀、二氟甲烷等)、前驱体(锆前驱体、硅前驱体、钛前驱体等)、高功能性湿化学法、其他高纯气体(氪、四氯化硅、氦)以及一些相关综合服务。Wonik Material{ l
4 & Js:圆益子公司,生产半导体o r & 及面板产业用的特殊气体。DNF:半导体材料厂商,主要产品有有机金属化合物,1 Z O !DPT,High-k 产品,HCDS 产品,ACL 产品等等。晶圆代工厂中国大P 1 E _ $陆:中芯国际(SMIC):中芯国际集成电路制造有限公司是世界领先的集成电路晶圆代工企业之一,也是中国内地技术最先进、配套最完善、规模最大、跨国经营的集成电路制造企业,提供0.35微米到14n Z :纳米不同技术节点的晶圆代工与L o (技术服务。中芯国际总部位于上海,拥有全球化的制造和服务基地。在上海建有一座300mm晶圆厂和一座200mm) g 0 J P V晶圆厂,以及一座控股的300mm先进制程晶圆厂在建设中;在北京建有一座300mm晶圆厂和一座控股的300mm先进制程晶圆厂;在天津和深圳各建有一座200mm晶圆厂;在江阴有. l { T % O U : 0一座控股的300mm凸块加工合资厂。中芯4 D A国际还在美国、欧洲、日本和中国台湾设立营销办事处、提供客户服务,同J c B % 2 : / d ]时在中国香港设立了代表处。华g 8 ( + @虹集团(Hua Hong):上海华虹(集团)有限公司成立于1996年,是国家“909”工程的成果与载体。华虹集团在建设运营` c g R p ^ v我国第一条深亚微米超大规模8英寸集成电路生产线的同时,逐步发展成 为以芯片制造业务为核心,集成电路系j 9 7 9 = } ^ 统集成和应用服务Z m 5 h v 6 ; e P、芯片制造工艺研发、电子元 器件贸易、海内外风险投资等业务平台共同发展的集成电路产业集团。上海华力微电子(Shanghai Huali):上海华力提供广泛的工艺技术平台及配套IP解决方案,全: s d ^面应用于手机通信、消费类电子、智能卡、物联网、穿戴电子以及汽车电子等终端产品;同+ ^ O z 5 4时也专注于差异化路线,重点布局射频、高压、嵌入式闪存、超低功耗、NOR闪存和`
$ P图像传感器等特色工艺平台,致力于为国内外芯片设计公司、IDM公司和其他系统公司提供先进的工艺技术和全面的芯片制造服务。上海先进半导体(ASMC):上海先进半导体制造有限公司于1988年由中荷合资成立为上海飞利浦半导体公: A a h % N司,1995年易名为上海先进半导体制造有限公司,2004年改制为上海先进半导体制造股份有限公司,2019年被上海积塔半导体有限公司吸8 f A 8 Z v收合并,改制为上海先进半导体制造有限公司。上海先进是一家大; m { y @ + W ,规模集成电路
z 7 T & n T ?芯片制造公司。目前o E _ , ( i J R q,公司= Z r有5英寸、6英寸、8英寸晶圆生产线,专注于模拟电路、功率n B m器件的制造,8英寸等值晶圆年产能66.4万片,上海先进是国内最早从事汽车电子芯片、IGBT芯片制造的企业。公司凭借超过三十年的芯片制造经验、先进的工艺设备及严格的质量管理体系,通过引进、消化、吸收、创新,在模拟电9 ( / 2 ; , p路、功率器件芯片代工领域具有领先地位。华润上华(CSMC):无锡华润上^ ` m华科技有限公司隶属于华润集团旗下负责半导体业务的高科技公司——华润微电子有限公司。华润上华及其附属公司于19O
F97年在中国] w X t u D E x U大陆开创开放式晶圆代工经营模式的先河,为客户提供集成电路制造服务。华润上华拥有国内R & } 9最大的六英寸代工线和一条八英寸代工线,总X 6 ) 2部和生产线设于无锡,在上海、香港和台湾均设有办事处C & L A ) ! Q。华润上华的六英{ ~ ) f O l u @ W寸生产线是国内首家开放式晶圆代工厂,以产能计为目前国内最大的六英寸代工企业,月产能21万片。八英寸生产线目前月产能已达6.5万片,制程技术将提升至0.13微米。思恩技术(SIEN):深圳市思恩技术有限公司是一家集科研,设计,生产,销售为一体的高科技企业,是专业集成电路测试方案提供商。思恩技术拥有十多年IC测试行业经验背景,可根据客户需求定制开发各种不同功能应用的IC测试方案及整机设备,测试方案涵盖:数字类IC、模拟M * * ) R i类IC、数模混合类IC、存储器类IC、分立器件类IC、MCU类IC等。士兰微电子(Silan):杭州士兰微电子股份有限公司,是一家专业从事集成电路以及半导体b
m K @微电子相关产品的设计、生产与销售的高新技术企业。士兰微电子目前的产品和研发投入主要集中在以下三个领域:以消费类数字音视频应用领域为目标的集成电路产品,包括以光盘伺服为基础的芯片和系统,现已成功地向市场推出了单芯片的CD播放机系统、DISCMAN系统、MP3/WMA3 x e Y f I E [数字音频解码等系统和产品、单芯片的VCD系统等,并即将推出单芯片的DA e 4 ] O $VD系统和其他数字音视频产品。粤芯半导体(CanS, J 5
–emi):广州粤芯半导体技术有限公司于2017年12月在广州开发区中新知识城设立,是国内第一座以虚拟IDM (Virtual IDM) 为营运策略的12英寸芯片厂,也是广州第一条1* I R Z v k2英寸芯片生1 – c产线。粤芯半导体项目投资288亿元,新建厂房及h % _ a # L T配套设施共占地14万平方米。建成达产A 7 3 l 2 1 U z 3后,粤芯半导体将实现月产40,000片12英寸晶圆的生产能力,产品包括微处理器、电源管理芯片、模拟芯片、功率分立器件等,满足物联网、汽车电子、人工智能、5G等创新应用的模拟芯片需求。华润微电子(CR Micro):华润微电子有限公司是华润集团旗下负责微电_ L 9子业务投资、发展和经营管理的高科技企业。公司业务包括集成电路设计、掩模制造、晶圆制造、封装测试及分立器件,业务范围遍J – 3 b 8布无锡、深圳、上海、重庆、香港、台湾等地。目前拥有6-8英寸晶圆生产线5条、封装生产线2条、掩模生产线1条、设计公司3家,为国内拥有完整半导体产业链的企业,并在特色制造工艺技术居国内领导地位。美国:格罗方德半导体(GlobalFoundries):格罗方德半导体股份有限公司是一家总/ i ( u A N D部位于美国+ R V f +加州硅谷桑尼维尔市的半导体晶圆代工厂商, 成立于2009年3月。格罗方德半导体股份有限公司由AMD拆分而来、与阿联酋阿布扎比先进技术投资公司(ATIC)和穆巴达拉发展公E s C Z z 5 5 e司(MubaX ? ) Odala)联合投资q

n @ 6 x成立的半导体制造企业。2017年,格罗方德半导体股份有限公司12英寸晶圆成都制造基地项目,在成都正式签约并举行开工仪式。该基地是全球首条22纳米FD-SOI先进工艺12英寸晶圆代工生产线IBM[ Q % / q $:IBM191f ! t v1年创立于美国,是全球最大的信息技术和业@ U
^ 5 t务解决方案公o t k R T n司。IBM在Vermont于1988年创建200mm生产线,产能60,000片,工艺能力 Analq B o 8 j I $ sog,Logic,Memory及Mixed Signal。并在EastFishkil于2001年创建300mm的R&D线及2002年投资了超过25亿美元,兴建世界上最先进的300毫米晶园制造生产线,并开展代工服务。中国台湾:台积电(TSMC):台湾积体电路制造股份有限公司,简称台积电,属于半导体制造公司。成立于1987年,是全球第一家专业积体电路制G l 7 ]造服务([ I t /晶圆代工foundry)企业,总部与主要工厂位于台湾新竹科学园区。联华电子(UMC):联电成立于1980年,是台湾第一家半导体公司。联电是世界晶圆专工技术的– M y .领导者,持续推出先进制程技术并且拥有半导体业界为数最多的专利。联电的客^ D
6 S *户导向解决方案K _ 8 5 3 ^ P能让芯片设计公司利用本公司尖端制程技术的优势,包括通过生产验6 y ^ 5 b证的65纳米制程技术、45/40纳米制程技术、混合信号/RFCMOS技术,以及其它多样的特殊制程技术。联电在全球约有12,000名员工,在台湾、日本、新加坡、欧洲及美国均设有服务据点,以满足全球客户的需求。威兆半导体(L O u
m h @ + }Vanguard):r b ] p Q a d r威兆半导体是专业从3 = . b I y Y事等立器件系列的设计及半导体微电子相关产品研发的高科技企业。威兆现已经成为少数同时具备低压Z A X 0,中压,高压全部系列大功率POWER MOSFET分立器^ { : ~ p `件,以及特殊半导体制程设计能力的先进IC设计公司。产品广泛应用于计算机,消费类电子,LCD/LEM { t L
rD显示器,通讯电源,工业电源,以及太阳能,风能,锂电等新能源产业。此外,e 4 P * ~ g f R Y威兆专注于大功率MOSFET器件研发设计。产品涉及新型IGBT、超结新型器件、高\\中\\低压场效应管、超低压降肖特基、快恢复二极管及器件模块化应用设计;采用最新工艺平台设计各类新工艺结构产品,致力于提高产品在系统中的能效转换。后道封装~ o r l和测试中国大陆:长电科技(JCET):长电科技是全球领先的集成电路系统集成和封装测试服务提供商,提供全方位的芯片集成一站式服务,包括集成电路的系统集成封装设计、技术开发、产品认证、晶] S o ! V ] S d F圆中测、Wafer Bumping、芯片成P W B [ :品测试并向世界各地的半导体供应商发货。通过先进的晶圆级WLP、2.5D / 3D和系统级SiP封装技术和可靠的Flip Chip和引线互联封装技术,长电科技的产品P P z f (和研发技; x 2术涵盖了所有集成电路$ } R `应用,包括移动、通信、计[ * 3 ; v e算、消费、汽车、工业等领域。JCET在中国、新加坡、韩国拥有三大研发中心及六大集成电路成品生产基地, 营销办事处分布于世界各地,可为国际和中国国内的客户提供紧密的技术合作和高效的产业链支持。通富微电子(Tongfu):通富微电子股份有限公司成立于1997年10月,2007年8月在深圳证券交易所上市。通富微电专业从事集成电路封装测试, 拥有Bumping、WLCSP、FC、BGA、SiP等先进封测技术,QFN、QFP、SO等传统封测技= 5
z =术以及汽车电子产品、$ A g
e . ~ 7 wMEMS等封测技术;以及圆片测试、系统测试等测试技术。公司在国内封测企业中率先实现12英寸28纳米手机处理器芯片后工序全制程大规模生产,包括Bumping、CP、FC、FT、SLT等。公司的产品和技术广泛应用于高端处理器芯片(CPU 、GPU)、存储器、信息终端、物联网、功率模块、汽车电子等面向智能化时代的云、管、端领域。全球前十大半导体制造商有一半以上是其客户。^ J U s F C ~ a天水华天(Tianshui Huatian): 天水华天Q 6 ) [ b W科技股份有限公司成立于2003年12月25日,2n : 1 p 7007年11月20日在深圳证券交易所挂牌上市交易。公司主要从事半导体集成电路封装测试业务。目前公司集成电路C J ) b封装产品主要有DIP/S2 = _ R Y
_ `DIP、SOT、SOP、SSOc M 7 ~P、TSSOP/ETSSOP、QFP/LQFP/TQFP、QFN/DFN、BG& T 4
/A/LGA、FC、MCM(MCP)、SiP、WLP、TSV、Bumping、MEMS等多个系列,产品主要应用于计算机、网络通讯、消费电子及智能移动终端、物联网、工业自动化控制、汽车电子等电子整机和智能化领域。公司集成电路年封装规k J J & . # h D模和销售收入均位列我国同行业上市公司第二位。晶f % ^方半导体(CSP):2005年6月, 苏州晶方半导体科技股份有限公司成立于苏州,是一家致J : ^ @ & H C力于开z x O 发与创新新技术,为客户提供可靠的,小型化,高性能和高性价比的半导体封装量产服务商。晶方科技的CMr F
E l h QOS影像传感器晶圆级封装技术,彻底改变了封装的世界,使高性能,7 N f h小型化的手机相机模块成为可能。这一价值已经使之成为有史以来应用最广泛的封装技术,现今已有近50%的7 M a ^ G .影像传感器芯片可使用此技术,大量应用于智能电话,平板电脑,可穿戴电子等各类电子产品。中芯长电(SJ Semi):中芯长电半导体(江阴)有限公司是中国大陆技术先进、规模大的集成电路芯片制造企业:中芯国际集成电路制造有限公司,与大陆规模大的后段封装测试企业:江苏长电科技( ; c 3 f % q股份有限公司合资组建的,致力于在中国发展世界领先的硅片级封装测试业务的外商独资企业,首期重点发展先进的12英寸凸块加工(bumping)及配套晶圆芯片测试(cp testinga Y p X Y ? X u)业务。深科e c u b x D技(K! r C r h [ D yaifa):深圳长城开发科技股份有限公司成立于1985年, 致力于提供计算机与存储、通讯与消费电子、半导体、医疗器械、汽车电子、商业与工业产品的制造服务和自动化设备、计量系统及物联网系统的研发生# L b产服务。深圳彩田园区是深圳政府授予的特色存储产业园,是中国先进的通讯电子产品制造企业之一,为全球多家一线品牌提供技术制造服务! b B 8 2 s D i ?,年产智能手机5000万台;是中国知名的智能E F ^ &电表及控制系统出口企业,累积出口高端智能电表到欧洲、南亚和东南亚等地4400万台;是中国知名的半导体存储模组制造企业,年产3000万片;是中国先进的DRAM/flash封装测试企业,月产能4500万颗。佰维存储(Biwin):佰维专注为客户提供优质的存v { Q 4 d储产品,致力于成为行业一流的存储解决方案提供商。佰维专注存储领域24载,造就了佰维稳健的上游资源整合能力、业内领先的存储算法及固件开发能力、优异的硬件设计能力、强大的测试能力和以SiP为核心的先进封装制造能力这5大优势。可为客户提供eMMC、eMCP、UF7 } O 3 2 Y ; 9S、LPDDR、ePW ! OP、SPI NAND、uMCP、BGA SSD以及2.5”、U.O ) ! _2、1 L BM.2、DOM、AIC Pd + dCIe、特种SSD、移动y c v &SSD、内存模组等全系列o C – g A J存储产品,并针对客户多元C m t Z C V $化的存储需求,提p K
q 1 / 0供具备高可靠性、高性c i z a E能、小尺寸、断电保护、加密支持、写入保护、宽A ` O p Z : &温运行、安全删除等特d R o & Z T点的产品。美国:艾克尔科技(Amkor):Amkor是全球最大的半导体封装和测试服务供货商,占全球半导体市场的30%份额,在全球多个国家设有工厂。Amkor主要服务于集成器件制造商、半导体公司、合同代工厂、通讯、消费电子、网络、计算、汽车和工业市场,在半导体封装和测试技术方面居世界领先地位,除了承接半导体芯片测试业务外还销售芯片制造服务,顾客包括计划全部知名的半导体公司,如:拓朗半导体、安华高科技、Pte、飞思卡尔、英特尔、索尼半导体公司以及东芝e ` x X公司等。高新星科技(R&D Altanova):美国高新星s b f j科技股份有限公司成立于1969年,是美国领先的集成电路自动测试设备供应商,致力于为客户提供先进的半导体自动化设备和系统测试界面板
S 3 [ K
x解决方案。产品主要为半导体测试板卡及电子系统测试的界面板平台。中国台湾:日月光集团(ASE):日月光集团为全球第一大半导体制造服务公司之一,长期提供全球客户最佳的服务与最先进的技术。自1984年设立至今,专注于提供半导体客户完整之封装及测试服务,包括晶片前段测试及晶圆针测至后段之封装、材料及成品测试P O Y m =的一元化服务。客户也可以透过日月光集团中的子公司环隆电气,提供完善的电子制造服务整体解决方案。京元电子(KYEC):京元电d b m L T 4 p T子股份有限公司成立于1987年5g T = k月,目前在全球半导体产业上下游设计、制造、封装、测试产业分工的型d = t + U ` : k态中,已成为最大的专X ~ X . E : r业测试公司。总公司座落在T B q 2新竹市公道五路旁,生产基地则位於苗栗县竹南镇。京元电子公司的工厂占地约20,000坪,厂房楼地板面积约92,000坪,无尘室面积则达56,000馀坪。晶圆针测量每月产能40万片,Ic F N D
H . ~C成品测试量每月产能可达4亿颗。矽品科技(SPIL):台湾矽品精密工业股份有限公司成立于1984年5月,主要营业项目为从事各项集成电路封装之制造、加工、买卖及测试等相关业务,是全球IC封装测试行业的知名企业。矽品本身为全球前四大专业封装测试代工服务业者,现属日月光投资控股公司成员,成为全球第一大专业封装测试代工服务业者。力成科技(Powertech):Pt @ F ~ ( V g /owertech Technology Inc.(PTI)成立于1997P n
]年,是全球领先的IC后端服务提供商。p O 1 $服务范围包括IC芯片探测,封装,最终测试以及预烧至最终产品,并直接交付给全球最终客户。PTI在全球拥有15,000多名员工,在新竹,台湾淳安,苏州,中国西安,新加坡和日本拥有世界一流的制造工厂。南茂科技(Chipq P iMOS):南茂科技主要业务为提供高密度、高层次之记忆体产品,! . 1逻辑产品与混合信号产品之封装、测试及相关之後段加工、配货服务。经由南茂提供的整体性机体电路封装、测试後,客户的产品即能顺利地9 w 6 G J m j 6应用在资讯、通讯、办公室自动化以及消费性电子等相关产业之商品上。颀邦科技(Chipbond):颀邦科技为拥有覆晶w G v P封装技术与晶片尺寸封装此二类先进技术之专业封装厂商,其产品线的规划可完全满足未来_ 7 1 H o 9 9封装的主流需求。( + b营业项目为晶B r K x v圆上金凸块及锡铅块之代工服` T y F K务,乃先进封装如:Flip Chip BGA、TAB所必须之过程。其中金凸块及TAB组装为LCD模组所必要,2013年国内投入仟亿以上资金发展TFT-LCD(薄膜液晶显示器模组)相关周之零配件产业,也需求强劲。颀邦科技是国内唯I s
! # g s X 8一有能力完成LCD之驱动之IC全程封装测试之公司。2014年正处於快速成长的阶段,估计往后10年内,台湾仍是全世界LCD主要供应地区及使用地区,前景看好。国内拥有半导体制造、应用最完整之体系,从IC设计、晶圆制造、封装、测试、产品组装等,不论是自行贩卖s H A或代工生产,在数量上都占世界举足地位。Kingpak:成立于1997年11月,是台湾最大的集成电路封装供应商之一。利用TinyBGA核心封装技术,实现良好的散热、低能耗、小面积、高容量、高效率的内存封装应用。随后,引领业内同行率先g Y M Z Z采用了世界上第一项PIP包装专利技术,成为内存和存储卡包装市场不可或缺的参与者。Kingpak于1999年进入小众CIS (CMOS图像传感器)微包装领域,主要从事消费品、可穿戴产品、安全监控及汽车相关的CIS包装以及视频测试服务。凭借多年来积累的强大研发能力、专业的研发和制造人才、ISO9001\\ISO14001认证,以及与T b Y 8 y U `全球客户的合作,公司在自主微细包装技术方面有着雄厚的` @ r基础,成为全球汽车相$
B ~ X 5 U ,关包装领域的领军企业。韩国:LB Semicon:LB Semicon成立于2000年2月,是韩国第一家在倒装晶片凸点领o } L # x m =域开展业务并建立了所有必要生产设施的, j . h G公司。LB Semicon从TFT LCD和OLED显示9 ) n 2驱动器IC(DDI)的金凸点开始,通过不断开发其倒装芯片v x ) 9 – o 6 M凸! 0 y ) – b点,将其范围扩展到焊料凸点,Cu柱凸点甚至晶圆级芯片级封装(WLCSP)技术。(微信公众号搜索“T6 l 6 ] ) D % ; gechSugar”并关注,让我们做你身边最值得信赖的科技媒体!)声明:转载此文是出于传递更多信息之目的。文章内容不代表本站立场,本站不对其内容的真实性、完整性、准确性给予任何担保、暗示和承诺,仅供读者参考,文章版权归原作者所有。如本文内容影响到您的合法权益(内容、图片等),请联系本站,我们会及时删除处理。
本文来自微信公众号:芯东西 (ID:aichip001),作者:高歌,编辑:Panken,原文标题:《日本地震扰动晶圆市场,光刻胶概念股暴涨!八大半导体商回应冲击》,头图来自:视觉中国芯东西3月18日报道,本周三晚10点半,日本本州东岸近海发生两次地震。根据中国地震台网信息,两次地震相距2分钟左右,一次震级为7.4级、另一次为6.0级。地震消息传出后,由于全球光刻胶龙头信越化学的工厂生产遭到影响,国内光刻胶概念股板块大涨,容大感光等多家上市公司股票涨停。这次地震造成了日本东北地区的交通、电力、物流中断,并对当地的半导体供应链造成了重要的打击。由于离地震较近的福岛县、宫城县集中了日本的汽车零部件和半导体供应链,包括信越化学、瑞萨电子、村田、环球晶圆、胜高、富士通和索尼等厂商,是硅晶圆、光刻胶等关键原材料的主要产地。根据最新消息,瑞萨电子、村田制作所、索尼等厂商均已宣布当地工厂停产。此外,丰田、日产等下游汽车厂商的工厂均在本次地震中有一定的损失。一、国内光刻胶概念股大涨当前光刻胶行业主要被日本JSR、日本东京应化、日本信越化学、美国杜邦等厂商所主导;硅晶圆领域的头部厂商则包括日本信越化学、中国台湾环球晶圆、日本胜高、韩国SK siltron等。其中信越化学不仅是硅晶圆龙头,也是全球第三大光刻胶供应商,更是少数能够提供EUV光刻胶的厂商之一。本次地震中,信越化学的工厂停产,可能会对全球光刻胶和硅晶圆供应造成一定影响。事实上,光刻胶也是技术要求最高的半导体材料之一,曾被日本政府作为对韩国的贸易战手段。自2021年以来,光刻胶、硅晶圆等原材料已经出现大幅涨价和缺货的现象,央视也进行过相应报道。央视报道“光刻胶靠抢,进口芯片涨价20%”画面本次日本地震消息传出后,国内光刻胶概念股掀起涨停潮,板块指数最高大涨近8%,容大感光、格林达、彤程新材、江化微等涨停,南大光电、晶瑞电材等涨幅近9%。目前,容大感光、上海新阳、南大光电、晶瑞电材、江化微等为国内主要的光刻胶上市公司,主营业务包括光刻胶及其他化学、电子材料。彤程新材旗下子公司彤程电子拥有光刻胶供应商北京科华微电子33.7%的股权。科华微电子则是国内的重要光刻胶供应商,成立于2004年,为中外合资企业,产品覆盖KrF(248nm)、I/G线、紫外宽谱光刻胶及配套试剂。格林达则主要从事超净高纯湿电子化学品的研发、生产和销售业务,其产品可用于光刻胶的显影和剥离。二、八大厂商回应:村田、索尼部分工厂已停产地震发生后,多家半导体供应链厂商回应震源附近工厂情况。根据最新消息,瑞萨电子、村田、索尼等厂商部分工厂已停产,铠侠、胜高、联电等生产仍在继续,信越化学、环球晶圆位于当地的工厂没有出现重大损坏,将在确认无误后按流程恢复生产。据路透社报道,如今汽车芯片的主要供应商瑞萨电子已暂停两家半导体工厂的生产,其中包括位于东京北部茨城县的Naka工厂。该工厂为全球汽车公司供应半导体。闪存厂商铠侠(Kioxia)发言人称,岩手县北上市一座厂房的部分制造设备因地震停止运作,现正检查细节。目前该厂没有人员伤亡或建筑物受损,存储生产持续进行。全球第二大硅晶圆供应商胜高公关负责人回应,该公司的山形县米泽工厂,目前尚无发生必须对外公布的灾情,工厂仍运作中。中国台湾晶圆代工厂联电位于日本的子公司也未受到地震影响。电子元件制造商村田制作所也停止了其当地四座工厂的运营。其中位于宫城县登米市的一家工厂甚至在地震后发生火灾,该厂有500名员工,主要生产智能手机零件和汽车晶片电感器。另外在仙台市、福岛县郡山市、以及福岛县本宫市的三座工厂也因为地震摇晃关系,目前正停止运作进行设备确认当中。索尼在宫城县的两家工厂和山形县的一家工厂停产,三家工厂主要生产存储介质、激光二极管和图像传感器。信越化学在昨日中午称,公司部分工厂停产,没有人员伤亡,设备也没有受到重大损坏。工厂停工后,将以安全为重,从经确认的流程开始按顺序恢复运营。环球晶圆则对外宣布,日本子公司因大地震曾短暂断电,目前电力供应已全面恢复,设备正全面检查中,确认无损后陆续恢复生产。其子公司称,本次地震对公司财务及业务并无重大影响。三、日本企业占据半导体上游关键位置瑞萨电子为全球汽车芯片龙头当前,日本在整个半导体供应链材料、设备等上游环节,有着很明显的优势地位。在材料领域,日本在半导体原材料方面具备技术优势,日本生产的半导体基础材料纯度高、质量可靠,信越化学、东京应化、胜高、住友化学等日本厂商占据了硅晶圆、光刻胶等领域的大部分市场份额。此外,在基板、陶瓷材料、真空密封部件、氟化氢等材料领域,日本企业同样占据主导地位,影响着全球半导体、电子产品市场。2017 年日本厂商半导体材料市场份额(图片来源:中国银河证券研究院)在精密设备市场,日本在多个关键环节具有近乎垄断的地位。日本最大的半导体设备制造商东京电子是全球第三大半导体设备供应商,产品主要包括涂布/显像设备、热处理成膜设备、干法刻蚀设备、CVD设备、湿法清洗设备及测试设备等。在芯片制造领域,虽然日本厂商不具备先进制程芯片的制造实力,但瑞萨电子是全球汽车芯片龙头,控制着全球近1/3的汽车微控制器芯片市场。在全球汽车缺芯的当下,瑞萨电子也是汽车等下游产业关注的焦点。四、结语:全球缺芯下地震或造成硅晶圆、光刻胶市场波动日本作为地震频发的国家,仅福岛地区就曾多次发生地震灾害。2011年,日本福岛发生地震,纽约时报称这场地震“拿掉了全球半导体供应链的一环”,对半导体供应链造成了较大的冲击。因此,在全球缺芯情况下,本次地震再次吸引了汽车、电子等产业的目光。就本次地震来说,信越化学、胜高等半导体材料厂商受损情况并不严重,能够较快地恢复生产。但同时,业界已有相关硅晶圆、光刻胶涨价传闻,地震影响仍可能造成硅晶圆和光刻胶市场的波动,后续影响值得关注。本文来自微信公众号:芯东西 (ID:aichip001),作者:高歌海量资讯、精准解读,尽在新浪财经APP
产品入口: 新浪财经APP-股票-免费问股
产品入口: 新浪财经APP-股票-免费问股
产品入口: 新浪财经APP-股票-免费问股
APP专享直播1/10热门推荐
收起
产品入口: 新浪财经APP-股票-免费问股
聚烯烃聚合物简介:中文名称:环烯烃共聚物/聚合物外文名称:COC或COP特性:透明、耐热、隔温、耐化学、低吸水性,并具有良好的介电性能和熔体流动性等。环状烯烃共聚物(COC),也称为环状烯烃聚合物(COP),是一类新型的高附加值热塑性工程塑料,其特性曲线在聚合过程中可以在很宽的范围内变化。根据聚合路线该产品有两个主要类别环状烯烃共聚物(COC)和环状烯烃聚合物(COP)。环状烯烃共聚物(COC)和环状烯烃聚合物(COP)是一类相对较新的无定形热塑性聚合物,它们一起描述是因为COC和COP非常相似,不同之处在于COP在配制过程中仅使用一种单体。其中,市场上应用最广的COC品牌TOPAS由Hoechst AG于1990年前后研发,于2000年在德国奥伯豪森的工厂开始投产。TOPAS COC是通过双环戊二烯和乙烯反应得到的降冰片烯再与乙烯单体在茂金属催化剂作用下共聚而成的透明且纯度极高的非结晶性树脂。TOPAS具有出色的水蒸气屏障性、耐化学性和安全性。因此被广泛应用于质量标准严格的医疗、包装、电子零部件等领域,如预填充注射器、药品包装薄膜和食品包装薄膜等产品。一、环烯烃聚合物历史:1960s:Natta等采用Ziegler-Natta催化体系合成得到了乙烯和环烯烃的共聚物。1987-1991:日本瑞翁用齐格勒型催化剂的开环聚合/氢化工艺开发了聚烯烃聚合物(COP),商品名为Zeonex。1990年11月COP”Zeonex”成套设备在水岛工厂完成,产能1000t/a,并于1991年正式生产销售。1991:Kaminsky等首次采用C2-对称型茂金属催化剂(Et(Ind)2】Zr-Cl2/MAO)聚合得到环烯烃共聚物。1992-1997:日本合成橡胶公司(JSR)开发聚烯烃共聚物ARTON,它以双环戊二烯为原料制得,1997 年9月在千叶工厂建成小批量生产装置,产能1000t/a。1995-1996:Hoechst与三井化学合作开发了用茂金属催化剂体系的加成聚合工艺。1995-1998:Hoechst公司推出Topas,由降冰片烃与乙烯单体在茂金属催化剂作用下共聚而成,并开发了连续溶液工艺聚合工艺。1995-1998:日本三井石油化学工业公司在其拥有的聚烯烃催化技术、聚合技术的基础上,利用最新的分子设计技术、茂金属催化剂开发了非晶性、高玻璃化转变温度的全新环烯烃共聚物COC。该公司确定了乙烯与降冰片烯共聚物的工业化生产方法,推出了产品APEL。1998-2004:1998年9月,日本瑞翁的通用级COP”Zeonor”上市。2004年11月,环烯烃聚合物(COP)的生产能力增加到15000 t/a。2003:Hoechst-Celanese公司在德国Oberhausen投建的Ticona装置于2000年9月正式开车生产COC Topas,生产能力 30000t/a。2003:2003年12月,日本合成橡胶公司(JSR)在Yokkaichi工厂的前提下,完成了用于光学的ARTON薄膜的生产厂。2005:为了满足不断增长的需求,三井化学将在日本将其环烯烃共聚物(COC)的产能提高600吨/年。2005年11月,其在岩国市的APEL COC工厂的产能将提高至3400吨/年。2006:2006年1月,宝理与大赛璐化学合资,从塞拉尼斯子公司Ticona GmbH收购环状烯烃共聚物(COC)业务,成立TOPAS Advanced Polymers GmbH。2009:日本瑞翁公司增加产能达到 31000 t/a,合计共有四条COP生产线。宝理塑料对环烯烃共聚物TOPAS业务收购历史∶大赛璐化学工业株式会社(大赛璐)、宝理塑料株式会社(宝理)、TOPAS先进聚合物公司(TAP)共同发表声明,宣布正式完成对泰科纳集团环烯烃共聚高分子TOPAS8业务的收购,,同时也宣告TAP公司的环烯烃共聚物TOPAS(r)业务从2006年1月1日起正式开始运作。TAP公司是大赛璐化工与宝理塑料的合资公司,他们分别持有55%和45%的股份。泰科纳集团是塞拉尼斯集团的全资子公司。TAP公司是大赛璐/宝理用来接管泰科纳集团全部的环烯烃共聚物业务(包括职工、生产及研发设施)而成立的下属公司。TAP公司在德国的法兰克福、奥博豪森和美国的佛罗伦萨、肯塔基州都有下属机构。宝理是大赛璐化工集团的子公司,将负责亚太地区的销售、市场及研发业务。由于其优异的性能,TOPAS环烯烃共聚物在市场上表现强劲。其光学性能可以与聚甲基丙烯酸甲酯(PMMA))相媲美;热性能优于聚碳酸酯(PC);尺寸稳定性比上述两种聚合物都高。这使它在光学元器件、医疗设备、诊断器材、硬而韧的包装薄膜、改性树脂和其他一些领域成为很有发展前景的材料。大赛璐化工是聚合物领域的行家,宝理塑料也是工程塑料界的佼佼者,强强联手将更贴近市场,更能满足客户需求。大赛璐化工将会利用其综合优势在全球范围内发展烯烃共聚物业务,计划在2010年销售额达到7干万欧元。二、物性:1、外观环烯烃共聚物是一种无定形、透明的共聚树脂。它的透明度与PMMA和PC相媲美。同时,由于COC具有低吸湿性,外形稳定性优于PMMA和PC。颗粒形状主要是椭球形,有部分品牌为圆柱形,这是由加工成颗粒的工序决定的。同时,COC比重约为1,比通用塑料轻20%左右,可以减轻产品重量。2、化学结构环烯烃共聚物COC的化学式如下图。它是具有环状烯烃结构的非结晶性透明共聚物∶将双环康烯(降冰片烯)单体和乙烯单体在金属茂催化剂作用共聚而成。3、玻璃化转变温度Tg由于环烯烃共聚物是非晶态共聚物,玻璃化转变温度会直接影响到材料的使用性能和工艺性能。而COC的两个单体(乙烯和环烯烃)中,可以通过调节环烯烃的比例来调节玻璃化转变温度。Tg随着环烯烃含量的增加而提高,Tg可在37.5~194.3℃范围内调控。4、光学特性COC分子结构中含有坚硬的非极性环状支链,这与一般的聚烯烃完全不同,正是由于这种分子结构,赋予COC非结晶性聚合物的高透明度、低双折射率、低吸湿性和低收缩率,因此可以作为非常优良的光学材料。COC的透光率达92%,而且与PMMA和PC等光学用树脂相比,它的吸水率低,并不会因吸水而造成光学特性的变化。郭世卓.环烯烃共聚物———一种新型的非结晶热塑性塑料"化学世界 042.003(2001)∶161-164.6、气体屏蔽性在所有塑料中显示出最低的水率。低吸水率可以展现出极好的寸稳定性和郭世卓.环烯烃共聚物———一种新型的非结晶热塑性塑料"化学世界 042.003(2001)∶161-164.折进行结晶性聚烯烃树脂难以采用的热成型法,并且能很好地吸收碳烃化合物类发泡剂而容易发泡。此外,因其具有良好的涂装性、印刷性、粘接性等特点,可进行二次加工和热焊接。【表】焦宁宁."茂金属环烯烃聚合物技术进展"塑料科技 000.003(002):50-54. 8、耐化学性COC有聚烯烃脂优良的耐水、耐水蒸气、耐酸、耐碱性及耐盐、耐极性溶剂性,但同时因其为非晶性树脂而对汽油、润滑油、三氯乙烷等烃类溶剂和卤化物类溶剂,产生溶解或溶胀现象。这点在使用上应予以注意。注:○可以使用;△注意;×不能使用9、耐光性COC具有化学稳定性 ,不易光氧化老化,与适当的稳定剂配合可显示出优良的耐光性。10、低杂质性具有极少杂质的非常清洁的树脂,可应用于半导体产品和医用器具。三、生产工艺:COC的制备工艺主要有两种∶· 开环移位聚合过程 ROMP· 茂金属催化加成聚合过程 mCOC这两种工艺采用的催化剂不同聚合机理也不一样。下图以制备COC最常用的单体NB为原料,列出了ROMP和mCOC过程各自的聚合机理。公司名制备工艺日本合成橡胶Japan synthetic Rubber开环聚合 ROMP日本瑞翁Zeon Chemicals Co.开环聚合 ROMP宝理子公司TOPAS Advanced Polymers GmbH加成聚合 mCOC日本三井Mitsui Chemical Co.加成聚合 mCOC开环移位聚合过程 ROMP最早的COC生产方式是ROMP过程 。1991年日本瑞翁公司首先采用这一过程生产Zeonex⑧,并于1998年推出了Zeonor⑧。ROMP法可在配位催化体系或金属盐体系存在下进行。 由图1可知该方法所得的COC分子链中带有大量残余双键,因此其介电常数较高,抗氧化性能及耐化学性较差。若将此类树脂用作光学、电子材料 ,则需要通过后续加氢反应,除去高分子链中 98%以上的双键。加氢催化剂为负载型镍催化剂或钯碳催化剂。对于单环烯烃,开环移位聚合得到的聚合物中将不含环状结构,不具有COC 的特征。ROMP过程生产的是COP,加氢后的聚合物与少一环的环烯烃和乙烯的交替共聚物有相同的分子链结构,所以也可称为COC。COC的ROMP生产过程多为溶液聚合。所用溶剂有甲苯、环己烷等。下图为一典型ROMP过程的流程图。引自谢家明.etaL”环烯烃共聚物的生产juhe工艺评述”化工进展 08(2006);17-20。图中1、2、3为反应器茂金属加成聚合过程 mCOC加成聚合过程的工艺开发晚于开环移位聚合过程,是在茂金属用于环烯烃均聚后才得以实现的。但环烯烃均聚物的玻璃化温度Tg过高。如聚环戊二烯的Tg就超过400℃,高于其裂解温度。此类高分子加工很困难因此没有较多的实用价值。mCOC是指环烯烃与乙烯等α-烯烃通过茂金属催化共聚合而得的产物。mCOC 的Tg可通过调整共聚物中环烯烃的含量来控制。有文献报道NB共聚物的 Tg与其含量呈线性关系。加成聚合过程较多的采用桥联型催化剂,这类催化剂活性较高,其中又以rac-【En(Ind)2】ZrCl2的活性为最高。mCOC反应机理为配位加成聚合,所得产物分子结构如图3所示。由于分子链中不带有残余双键,因此无须再进行加氢反应,使工艺流程简化,生产成本降低。为了减少反应体系中的杂质,以保证产品的透明度,用于合成mCOC的催化剂都是均相催化剂,聚合过程均为溶液聚合。在实验室的研究中,所用溶剂通常为甲苯,反应温度60~120℃。引自谢家明.et aL”环烯烃共聚物的生产juhe工艺评述”化工进展 08(2006);17-20.茂金属加成聚合过程 mCOCTicona公司采用这一技术于2000年新建一个30kt/a的mCOC装置其工艺流程如图4所示。由图4可见,Ticona 公司的COC生产工艺,采用茂金属催化剂催化的溶液聚合过程,包括精馏聚合、催化剂分离和脱挥发分。为了保证聚合物优良的光学性能,整个生产过程都进行严格的质量控制。采用多级精馏,确保输入物流(单体、溶剂)的超高纯度,单体NB溶于溶剂加入反应器。通过调节反应器中单体的浓度比,控制聚合物中各单体的含量,进而控制聚合物的性能。聚合过程完全由过程控制系统PCS自动控制。聚合反应完成后,用最新开发的连续过滤装置逐步分离聚合物溶液中的催化剂,需分离出99.9%以上的催化剂,以确保最终产物杂质含量低于10μg/g。催化剂除去之后,聚合物溶液用沉淀剂沉淀。过滤之后脱挥,脱挥发分后的聚合物中溶剂残留量低于100 ug/g。然后在密封系统中运送到造粒工序,并于无尘环境包装。该工艺过程生产的COC杂质含量极低,光学性能优良。引自谢家明,et al环烯烃共聚物的生产juhe工艺评述”化工进展 08(2006)17-20..两种聚合过程的比较右表从催化剂、单体、生产工艺流程、聚合物性能等方面对上述两种聚合过程进行对比ROMP生产过程中所采用的单体均为环烯烃,价格要远高于乙烯等α-烯烃。而且ROMP的产物必须经过复杂的加氢反应,才能得到高附加值的树脂。因此,采用ROMP过程生产的COC成本很高。相比之下mCOC过程的优点在于,采用廉价的乙烯等作为共聚单体,高活性催化剂且无须进行复杂的加氢反应,其成本大大低于前者。四、COC/COP应用:环烯烃共聚物主要应用在以下领域∶包装领域、医用领域、光学领域、其他领域包装领域:LLDPE/COC共混薄膜· 降低成本LLDPE/COC共混物可以使薄膜减薄后性能保持不变,从而降低了每平方米薄膜的成本。· 增加模量将质量分数10%的COC添加到LLDPE中,可以使其模量增加2~3倍,同时保持较低的雾度。模量的增加还可以增加袋子的直立(直挺)性能,这使得袋子更容易装填和处理。· 提高密封强度LLDPE/COC共混物也很适合于密封层薄膜,COC的加入可以使其模量升高,通常可使密封强度增加10%~20%。· 作为控制薄膜线性撕裂强度的添加剂将COC添加到LLDPE中,可以提高薄膜耐穿刺性能,同时也会大大降低薄膜的撕裂强度。这一特性赋予了制品易撕裂性及线性撕裂。通过调节添加到PE薄膜中的COC含量,可以同时实现较好的抗穿刺强度及易撕裂性。· 提高收缩性能COC本身具有高收缩性和低收缩力,结合其可定制的收缩起始温度、密度低以及优异的光学性能,使其具备了在收缩套、纵向收缩标签、通用收缩膜等应用领域的竞争优势。· 增加气体阻隔性能COC拥有极佳的潮气(水蒸气)阻隔性,比LDPE高4~5倍。COC 还拥有优异的极性溶剂和香味阻隔性能,如与LLDPE相比,COC 酒精的阻隔性能要高35倍,对香味的阻隔性能要高5~10倍。· 适用于扭结膜将COC置于薄膜外层的COC/LLDPE/COC扭结膜中,由于模量的提高,扭结膜具有工字梁型的硬挺效果。这种材料具有良好的可裁割性,其优异的光泽表面适用于印刷或金属化处理。包装领域:COC和PCTFE高阻隔泡罩膜新型的COC/PCTFE((环烯烃共聚物/聚三氟氯乙烯)复合膜主要用途:药品的泡罩包装COC优点:水蒸气阻隔性高;真空成型性佳;杂质少、非卤素。优势分析:传统的泡置包装由较厚的PVC膜或PET膜与相对薄的阻隔性聚合物膜组成,常用阻隔性聚合物为PCTFE和PVDC(聚偏二氯乙烯)。虽然PVC和PET膜具有泡罩包装成型要求的极佳成型加工性,但做出来的泡罩包装相对较厚。而COC/PCTFE结构双层膜中,COC膜有良好的加工性,同时,由于COC和PCTFE膜均有湿气阻隔性,组成的双层膜水蒸气透过率达到或超过现有阻隔性最高的薄膜。而且这种薄膜总厚度小,在保证阻湿性的情况下,降低了泡罩包装的厚度。另外,由于COC刚性高,对提高药品泡罩包装要求的刚性也有贡献。包装领域:其他案例易撕包装袋用途∶食品和医药包装结构∶LLDPE或LDPE掺入COC特点∶抗刺穿并增加线性易撕裂性,易用徒手开封。立袋(Standing Pouch)用途∶洗涤剂和食品包装结构∶密封层PE中掺入COC特点∶让立袋更挺立,同时使其薄壁化减少材料用量。医药包装材料的替代物:随着Barex树脂逐渐停产,服务医疗市场的加工商正寻找替代物。由于涉及转换包装材料的监管约束,取代Barex树脂对医疗市场OEM是一个迫切的问题。包装材料的选择将影响药品的纯度和效力,所以有必要确定一种具有隔离和吸附作用的材料,同时还呈低溶出物和萃取物并满足常常在多个全球市场中的管理要求。来自全球热塑性材料供应商Topas先进聚合物公司的环烯烃共聚物COC是一种已经成为医疗和其他应用中Barex PAN(PAN为丙烯腈)的可行的替代材料。Topas COC树脂目前正填补许多寻求一种安全且容易获取的替代Barex材料的医疗公司的需求。尽管PAN和COC来自聚合物光谱的两端,但它们可提供类似的阻隔/渗透性能和很强的耐化学性。Topas COC树脂是一种透明的高纯度热塑性塑料,已成功应用于医疗方面。采用Topas COC树脂可获得满足特定的加工和最终用途需求的许多牌号。这种聚合物可以提供优良的热封性能,可广泛用于直接接触的包装商品。这些牌号具有低密封起始温度,便于密封,同时这些牌号提供了较高的耐热性。引自∶Plast Technol,2016-04新型预充注射器材料:以欧洲为中心的广大地区正在改用塑料来制作预充注射器以取代玻璃材料。预充注射器可改善制造过程中的破损废品率,减轻质量,不会产生金属类溶出物,同时还具有最佳的水蒸气阻透性,长期保存性,以及不亚于玻璃的高透明性等优良特性,因此是玻璃材料的最佳替代材料。COC树脂TOPAS既可满足上述特性要求,同时还具有其自身的高流动性所带来的高模具转录性以及良好的性价比。微量滴定板:TOPAS也被用于微量滴定板和生物芯片等检测器械。微量滴定板是用于生化分析和临床检查的一种实验和检测器械。用TOPAS制作的多孔型微量滴定板(384 孔)有助于节省作业时间、减少样品用量并使数据更加精密,因而符合一次需要处理多种试样和信息的现代化学和生物学的流程。在对特殊有机溶剂(如DMSO=二甲亚砜)和耐热性有要求的DNA和蛋白质分析等场合,TOPAS堪称最佳塑料材料。此外,由于荧光自发性低而耐药品(除油类和非极性溶剂)性高,因此也它适用于用 UV光等来进行检测的容器用途。更好的生物芯片材料:在以判定疯生病和禽流感为目的的简易测量仪器中,有望被用作反应池的生物芯片应具有细微转录性、低荧光自发性和耐热性等。共聚环状烯烃COC树脂TOPAS是一种基于独创的茂金属催化剂技术的高品质和高纯度非晶形环状树脂,在标准要求很严的医疗器械装置和检查诊断器具等医疗领域,作为高品质和高成本的石英玻璃和聚二甲基硅氧烷等的替代材料,可满足上述特性要求,被认为是面向这一用途的最佳塑料材料。摘自∶更好的生物芯片材料___TOPAS⑧COC树脂 栾维涛钟小燕手术照明牵开器:美国因维缔有限公司专利发明,用于照亮手术部位的照明牵开器。其中它的照明刀片由COC或COP材料成型。光学领域:COC的雾度低。几乎是完全透明的,其透光率优于聚苯乙烯和聚碳酸酯等材料,几平与聚甲基丙烯酸甲酯相同。与其他的透明、非晶态塑料相比,COC具有更高的强度和热变形温度,并且密度更低,比聚碳酸酯和聚甲基丙烯酸甲酯轻20%左右)。另外,COC的密度还不到普通玻璃的一半,而且不易碎。这些特点正是制备光学元件所需的优良特性,特别是在对光学元件的重量、耐久性和成本要求高的场合,COC 将更具优势。即使在较高的温度下,COC也仍然具有极低的水汽吸收率和很好的抗蠕变性能。因此,与其他的透明树脂相比,COC能够更好地保持光学器件原有的设计尺寸。正是因为这些优点. COC可以应用于制备背投电视和电脑显示器的光学器件.而其他的树脂、如聚碳酸酯和聚甲基丙烯酸酯等材料在一定的温度和湿度下就会发生变形现象。COC在光学器件的应用通常包括(打印机、摄影机/照相机等)镜头、太赫兹透镜、镜面反射器、光盘、光导面板、笔记本电脑液晶显示器的光控制板和反光膜、液晶背景用薄片、光盘、光纤等。目前几大公司开发的产品中光学器件都占有一定的比例。日本合成橡胶公司COC产品∶Arton(无定型降冰片烯加成聚合物)应用领域∶主要用于塑料镜头(如手机的相机镜头、手机的物镜和传感器镜头等)、光导向板以及光学薄膜。该公司还开发了Arton 和聚苯硫醚 Artopps TM的合金,也可以用于光学镜头。日本瑞翁COC产品∶Zeonex和Zeonor应用领域∶高等级的Zeonex主要用于光学设备,如手机相机、数码相机和袖珍相机的镜头和渗透薄膜、电子办公用的f0镜头、CD和DVD的pick-up镜头、医药产品的容器和包装材料。ZeonexTM 480、480S和480R主要用于棱镜、照像机、显微镜等的光学镜头。日本三井化学COC产品∶APEL应用领域∶主要用于光学和注模领域。APELCOC具有高折射率和低双折射率,在市场上增长最快的应用是作为光学材料用于电子和爪相关领域,如在DVD和智能手机的多视角化镜头。为此,三井化学决定增产APEL,以建立稳定的供应体系,进一步扩展车载相机、头戴式显示器和医疗应用等相关业务,将包括APEL在内的ICT功能聚合物业务定位为业绩增长领域。Topas先进聚合物公司COC产品∶Topas 5010、5013、6015、6017和TKX等应用领域∶它具有高透明、紫外线穿透性强、低双折射率以及良好的折光指数稳定性,因此在光学领域有着较好的应用。如注塑级牌号Topas TKX-0001和5010L-01具有优于老牌号的流动性和透明性,可应用于镜片(头)和其他光学用途部件。智能手机镜头透镜聚光成像的透镜是决定照片清晰度的重要部件.由凸镜和凹镜组合而成。普通照相机上使用的是口径较大的玻璃材质的透镜,而智能手机的相机透镜,则必须既小又轻才行。COC材料优点:· 易于成型∶相比玻璃制作透镜需要切削和抛光,COC材料可通过注塑成型,节省大量工序工时。· 轻量化∶COC密度只有玻璃的一半.适用于智能手机轻便的要求。· 清晰度高∶双折射非常小.图像不会分散. 可拍摄出高清晰度的照片。其中,三井化学生产的”APEL”作为高性能凸镜材料,在世界上占有一半以上的市场份额。该材料可加工生产最小直径为4 mm、厚度仅为0.2 mm的凸镜。其他领域:环烯烃共聚物基透明TPETopas先进聚合物公司开发出第一种环烯烃共聚物基(COC)热塑性弹性体(TPE)。这种高弹性的透明材料目前已有数个品级. 主要应用于药品包装、输液袋、抗弯结医学导管和其他医疗设备。不同于早前的非晶型品级Topas,这种TPE是半结晶型的。最先推出的品级的邵A硬度为89.满足美国医疗级认证 (USP)VI标准,其弹性模量约为44 Mpa.断裂伸长率可达450%∶其介电性能与某些氟共聚物相当, 可赋予线缆护套以绝缘能力;这种材料低于-80C下仍具有延展性,而且耐磨性很高.可与更高成本的TPU相媲美。初步试验表明其还可耐受y射线和电子束杀菌。可注射成型或无需预干燥就挤出。利用这种弹性体可改性标准COC以提高硬度和轻度.用量不超过25%。新品级还可与烯烃类和苯乙烯类TPE共混.实现在二次成型中与聚烯烃和苯乙烯类基体之间的黏结。摘自Plast Technol2011(1)移动设备天线TOPAS树脂对高性能电子产品具有出色的高频电性能,包括用于手机,平板电脑等移动设备的天线。TOPAS COC将类似含氟聚合物的电性能与聚烯烃加工的便捷性结合在一起。天线罩和电缆绝缘层可以受益于TOPAS聚合物的独特性能。吸水率小于0.01%,TOPAS树脂的电和物理性能不会随环境条件而波动。它的水蒸气透过率(WVTR)是所有聚合材料中最低的,可保护敏感组件免受器件和薄膜中水分的有害影响。TOPAS COC为您提供了许多制造产品的选择。树脂可以注塑、发泡.挤出成膜或纤维,热成型等。TOPAS 聚合物可以通过加热.激光、溶剂.超声波或粘合剂连接。可以对基于TOPAS的物品进行印刷或金属化以达到装饰或功能效果五、产能:未来新增产能Zeon Corporation(2019/9)(总裁∶Kimiak Tanaka)决定在其位于冈山县仓敷市的Mizushima工厂提高透明热塑性树脂环烯烃聚合物(COPs、商品名∶ZEONEX回和ZEONOR@)的生产能力。预计最新举措将把年产量从37.000吨提高到41.600吨。工程定于2020财年开始,计划于2021年7月完成。三井化学近日(2020/5)宣布,为满足日益增长的市场需求,公司将增产环烯烃共聚物APEL。为此,公司将在大阪工厂内新建一条生产线,新生产线预计2022年3月完工。届时,三井化学的APEL产能扩大50%。据《化学周刊》报道.日本宝理公司(Polyplastics)表示(2020/9),计划在德国Leuna建立年产2万吨/年的环烯烃共聚物(COC)生产工厂。该工厂计划于2023年中期投入运营。商品名公司名/生产厂址生产能力/(t/a)TOPAS COCTOPAS Advanced Polymers GmbH德国奥博豪森30000Apel COC日本三井Mitsui Chemical Co.日本岩国3400日本大阪3000ARTON COC日本合成橡胶Japan synthetic Rubber日本千叶5000Zeonex/Zeonor COP日本瑞翁Zeon Chemicals Co.日本水岛37000(表)数据来自于品牌官网或网络公开信息,可能存在时效误差。六、市场份额:聚烯烃共聚物主要生产商· TOPAS Advanced Polymers GmbH· ZEON株式会社· 三井化学株式会社· JSR公司七、市场区域:在地区消费方面,日本、中国和欧盟是全球最大的COC和COP市场,2015年分别占据27.42%,25.07%和19.10%的市场份额。其他主要消费地区,如美国占15.94%,世界其他地区分享其余的12.47%。区域洞察∶· 欧洲是环状烯烃共聚物的重要生产和消费地区。其中,德国的环烯烃共聚物在产能上占有重要的地位。· 德国不断地扩大生产能力,并持续地研究低成本的生产工艺,正在推动该地区的市场发展。区域洞察∶亚太地区是环状烯烃共聚物市场的重要区域。中国、目本和韩国等新兴国家对电子行业包装的需求不断增加,是该地区COC增长的主要因素。日本· 日本是亚太地区COC生产和消费的领先国家。环状烯烃共聚物的主要生产商都来自日本。日本COC的市场消费比例已占到DCPD消费总量的27%。· COC在微流体行业的3D打印中采用,因为它具有较高的清晰度、纯度和紫外线透明度 这反过来又为制造商提供了扩大在该地区生产的机会。中国· 除日本以外, 中国是亚太地区环状烯烃共聚物的主要消费国。包装应用中对环烯烃共聚物的需求,增加,正在推动中国市场。其中,2019年中国环烯烃共聚物(COC)消费量达到2.5万吨。· 环烯烃共聚物领域在国内部分企业和研究机构仍处于小试阶段,在大规模量产方面的人才储备、工艺技术仍需要提升。因此,中国国内目前没量产的企业,主要通过进口Topas、日本瑞翁、日本三井的产品。八、市场领域:细分领域洞察:包装领域· 包装行业在COC/COP市场上占有重要地位。它是其他包装塑料(如 PVC、PET、聚丙烯酰胺和聚酰胺)的替代品,具有广泛的应用,如食品、饮料、医疗设备和制药包装。根据软包装协会.2017年美国在食品、饮料、医疗制药上的软包装销售额分别为51%、8%和9%。因此,COC/COP有比较大的增长空间。· 2018年包装行业消耗了近20千吨环状烯烃共聚物.价值超过2.05亿美元.占有全球环状烯烃共聚物市场超过三分之一的份额。在未来几年中,包装领域可能仍将是该市场参与者最赚钱的应用方向。医疗领域· 随着Barex树脂逐渐停产、环烯烃共聚物已经成为医疗和其他应用中Barex PAN(PAN为丙烯腈)的可行的替代材料。COC/COP树脂目前正在填补替代Barex材料的医疗公司的需求。· 越来越多研究成果证实了COP/COC材料对生物大分子的低吸附、低聚集特性,加上它极高的透明度和耐药剂性,COC/COP树脂成为玻璃药瓶/注射器的可靠替代材料. 为其在医疗领域带来持续的增长机会。光学领域· 环烯烃共聚物具有折射率高且双折射低的特点,是优异的光学透镜材料。其中三井化学生产的COC”APEL”是智能手机摄像头透镜材料的开创者,作为高性能凸镜材料,它在世界上占有一半以上的市场份额。· 瑞恩公司的产品(COPs,商品名∶ZEONEx*和ZEONOR°)主要应用在光学领域,光学膜和光学透镜等需求不断增长.促使瑞翁公司持续扩张产能。九、市场趋势:根据TMR研究报告,2018年全球消费了超过5.4万吨的环状烯烃共聚物.市场价值接近6亿美元。而根据EMR的研究报告,2019年全球环烯烃共聚合物市场达到近7.63亿美元,预计2020-2025 年复合年均增长率为32%,到2025年将达到40.35亿美元。环状烯烃共聚物市场的主要增长动力是什么?· 预计2020~2025年范围内,全球环烯烃共聚物市场将以显着速度增长,这主要是由于包装、医疗及光学透镜对COC/COP需求增加所致。其中,轻量化的玻璃替代也是一个重要的增长动力。· 在许多国家已经开始减少使用一次性通用塑料。世界各地的政府都在努力把一次性通用塑料往可持续使用的替代品(例如环烯烃树脂)过渡。因此,包装领域的增长有望推动全球环状烯烃共聚物市场的发展。· 大多数共聚物对湿气敏感。在高湿度期间,薄膜和组件的尺寸和其他特性可能会发生细微变化。然而,环状烯烃共聚物保持不受湿气和热的影响。环状烯烃共聚物的这种性质可能会鼓励各种各样的最终用途工业选择它们。环状烯烃共聚物市场的主要增长挑战是什么?· 当前环状烯烃共聚物不能大量获得.主要原因是它们的制造成本高并且应用领域有限无法大规模投放产能。另外,环烯烃共聚物的优势未被广泛了解也限制了市场。· 包装行业的公司在不断降低包装成本。这影响了环状烯烃共聚物在药物以外的包装中使用。全球环状烯烃共聚物市场的有利机会· 环烯烃共聚物已成为微流体材料. 具有低吸水率.高光学透明度,优异的耐化学性 并具有出色的防潮性能。这些性质使环状烯烃共聚物成为微流体应用中的优选选择。· 环烯烃共聚物正在作为微流体领域的”首选”材料出现。它们有望满足医疗保健行业不断增长的需求。由于环烯烃共聚物能增强科研和生物医学设备中使用的微流体部件的能力,OEM有望继续使用环烯烃共聚物。环烯烃共聚物市场和研发战略从电子产品到医疗保健等终端市场中,环烯烃共聚物的制造商和分销商.正在根据这些最终用户的动态需求来制定他们的业务策略。领先的制造商正在加强研发设施,以创新环烯烃共聚物的性能特征.以满足终端客户的需求。市场领导者可能会通过专利制造技术的发展,来巩固自己的市场地位。制造商们一直在致力于增加其分销部门的规模,特别是在北美和欧洲等发达地区,以扩大产品的市场范围。制造商正在建立针对包装行业作为目标终端行业的战略。然而来自低成本塑料的威胁,继续限制着环状烯烃共聚物的市场开发。尽管它们正在成为包装中基于PAN的聚合物的替代品.但更便宜且普遍可用的塑料(例如丙烯酸和聚碳酸酯)在大多数应用中取代了环状烯烃共聚物。尽管SABIC.PolyPlastics Inc和Johnson&Johnson之类的大公司.由于其优异的化学性能而在推动环烯烃共聚物的采用。但在中小型企业中,具有成本竞争力的塑料的采用率,仍然高于环烯烃共聚物。联系我们:东莞市富临塑胶原料有限公司随时准备为您服务。请立即联系我们,讨论您的材料科学需求。电话:13412571885(黎生)邮箱:flsujiao@gmail.com来源:富临塑胶声明:本篇稿件内容系出于传递信息之目的源自于第三方网站转载,行业企业、终端用户投稿。若对稿件内容有任何疑问或质疑,请立即与本网站联系,本网站将迅速给予回应并第一时间做出处理(联系邮箱:jinwei@zod.com.cn)。

我要回帖

更多关于 韩国 的文章

 

随机推荐